十进制计数器与4位二进制计数器有些相似,但4位二进制计数器需要计数到1111然后 才能返回到0000,而十进制计数器要求计数到1001 (相当于9)就返回0000。8421BCD码 十进制计数器是一种最常用的十进制计数器。

8421BCD码十进制计数器如图所示

该计数器是一个8421BCD码异步十进制加法计数器,由4个JK触发器和一个与非门构成,与非门的输出端接到触发器F1、F2的SD非端(置"1”端),输入端则接到时钟信号输入 端(CP端)和触发器F0、F3的输出端(即Q0端和Q3端)。

计数器的工作过程分为如下两步

第一步:计数器复位清零。

在工作前应先对计数器进行复位清零。在复位控制端送一个 负脉冲到各触发器Rd端,触发器状态都变为“0”,即Q3Q2Q1Qo=OOOO。

第二步:计数器开始计数。

当第1个计数脉冲(时钟脉冲)下降沿送到触发器F0的CP端时,触发器F0翻转,Q0由"0”变为“1”,触发器Fl、F2、F3状态不变,Q3、Q2、Q1均为"0”,与非门的输出端为 “1”(Q3非*Q0非.CP非 = l),即触发器Fl、F2置位端SD非为“1”,不影响Fl、F2的状态,计数器输 出为 Q3Q2QiQo=00010当第2个计数脉冲下降沿送到触发器F0的CP端时,触发器F0翻转,Qo由“ 1 ”变为“0", Qo的变化相当于一个脉冲的下降沿送到触发器F1的CP端,F1翻转,Q1由“0”变为“1”, 与非门输出端仍为"1”,计数器输出为Q3Q2QiQo=0010。

同样道理,当依次输入第3~9个计数脉冲时,计数器则依次输出0011、0100、0101、 0110、 0111、 1000、 1001。当第10个计数脉冲上升沿送到触发器F0的CP端时,CP端由“0”变为"1",相当于 CP=1,此时Qo=l、Q3=l,与非门3个输入端都为“1”,马上输出“0”,分别送到触发器F1、 F2的置“1”端,F1、F2的状态均由“0”变为“1",即。=1、Q2=l,计数器的输出为 Q3Q2Q1Q0=1111。

当第10个计数脉冲下降沿送到触发器F0的CP端时,F0翻转,Q0由“1”变“0”,它送 到触发器F1的CP端,F1翻转,Q1由“1”变为“0”,Q1的变化送到触发器F2的CP端,F2 翻转,Q2由“1”变为“0”,Q2的变化送到触发器F3的CP端,F3翻转,Q3由“1”变为“0”, 计数器输出为Q3Q2Q1Qo=OOOO.

第11个计数脉冲下降沿到来时,计数器又重复上述过程进行计数。

从上述过程可以看出,当输入19计数脉冲时,计数器依次输出0000-1001,当输入 第10个计数脉冲时,计数器输出变为0000,然后重新开始计数,它跳过了 4位二进制数计 数时出现的 1010、1011、1100、1101、1110、1111 6 个数。

举报/反馈

hdl四位二进制计数器_四位二进制计数器这样接成十进制计数器相关推荐

  1. jk触发器改为四进制_四位二进制计数器这样接成十进制计数器

    十进制计数器与4位二进制计数器有些相似,但4位二进制计数器需要计数到1111然后 才能返回到0000,而十进制计数器要求计数到1001 (相当于9)就返回0000.8421BCD码 十进制计数器是一种 ...

  2. hdl四位二进制计数器_四位二进制加法计数器

    一 实验目的 1 .熟悉Q uartusII 的VHDL文本设计流程全过程,学习计数器的设计与仿真 2 .掌握简单逻辑电路的设计方法与功能仿真技巧. 3 .学习使用 V AHDL 语言进行含异步清零和 ...

  3. 用74ls90组成二十四进制计数器_一个厉害的芯片芯片74LS190同步计数器可以做加法也可以做减法...

    74LS190是同步十进制加/减计数器(又称可逆计数器), 漂亮的主板 它依靠加/减控制端的控制来实 现加法计数和减法计数. CPU CO/BO:进位输出/借位输出端: CP:时钟输入端: CT:计数 ...

  4. 二进制算法_本地二进制模式算法:其背后的数学❗️

    二进制算法

  5. html访客计数器_在WhizBase中创建实时访客计数器(在线)

    html访客计数器 Ever wondered how to display how many visitors you have online. In this tutorial I will sh ...

  6. java 删除二进制内容_从二进制矩阵中仅删除一个元素的行/列

    cr1msonB1ade的方式是一个很好的答案 . 对于更加计算密集的矩阵(数百万x百万),您可以使用此方法: 用稀疏表示法对矩阵进行编码: DT 1, 3, 4, 1, 2, 5, 6, 7, 5, ...

  7. hdl四位二进制计数器_quartus4位二进制加减法计数器.doc

    贵州大学实验报告 学院: 专业: 班级 姓名学号实验组实验时间指导教师成绩实验项目名称4位二进制加减法计数器实验目的了解二进制加减法计数器的设计,进一步了解,熟悉和掌握quartusII的使用方法 学 ...

  8. 在quartusii如何设计出一个 3 位的十进制加法计数器的原理以及它的设计电_从算盘到计算机,从十进制到二进制,人类计算能力的提升...

    从整个人类的发展史上看,我们的科技和生产力是以加速度的规律发展的.尤其是最近的一百多年时间里,我们的加速度得到了前所未有的提升.在70万年以前,周口店的"北京人"就已经会使用火了. ...

  9. 用二进制计数器集成芯片74161设计一个64进制计数器

    用二进制计数器集成芯片74161设计一个64进制计数器.要求分别用反馈清零法和反馈置数法实现.画出设计的电路图. 端口介绍: A B C D为置数的数字输入端(其中D为最高位,A为最低位),在实现置数 ...

最新文章

  1. nginx+keepalived 高可用
  2. Python 3标准库,[美] 道格·赫尔曼(Doug Hellmann)
  3. Linux内核中的GPIO系统之(3):pin controller driver代码分析
  4. 新版mysql授权命令,缺一不可
  5. primer3批量设计引物
  6. 【MySQL】ON DUPLICATE KEY UPDATE 解决重复插入问题
  7. mysql解压缩版配置_MySQL 5.6 for Windows 解压缩版配置安装
  8. 从2018百度前端技术学院看代码究竟应该怎么写(2)
  9. SpringBoot+Thyemleaf报错Template might not exist or might not be accessible
  10. 2021年吉林高考成绩怎么查询,2021年吉林高考成绩排名查询系统,吉林高考位次排名查询...
  11. linux df命令无反馈,Linux df 命令长时间没有返回
  12. 树莓派十周年,回顾它的发展历程
  13. MyEclipse 8.5可用的序列号
  14. Mac | M1芯片 JD-GUI 无法打开的问题处理
  15. 网站项目计划书(参考)
  16. 使用标准输出流(system.out)和打印流 (PrintWriter)来读取txt文件
  17. 基本系统调用性能lmbench测试方法和下载
  18. 看一个师兄的操作系统视频有感
  19. 使用Retrofit的过程中遇到 type xxx.xxx.xxxdoes not have type parameters
  20. 《关于TCP SYN包的超时与重传》——那些你应该知道的知识(四)

热门文章

  1. 多个模型在测试集上的Accuracy以及AUC指标可视化对比实战
  2. R语言使用ggplot2包使用geom_density()函数绘制分组密度图(自定义调色板填充色、brewer调色板填充、灰度比例填充)实战(density plot)
  3. 什么是textRNN?有什么用途?结构是什么样子的?
  4. 多层感知机MLP、RBF网络、Hopfield网络、自组织映射神经网络、神经网络算法地图
  5. 流数据分析平台Storm简介
  6. access mysql字段对应_ACCESS数据库中表与字段名称修改
  7. java xml 拆分_java – 如何使用VTDGenHuge将大型xml拆分成小块?
  8. Failed to load module script: The server responded with a non-JavaScript MIME type of “text/plain“.
  9. Bazel入门教程:编译C++项目
  10. windows10下使用wget命令(安装失败,请大家提意见)