本例中的核心内容为拨码开关和数码管。

8位拨码开关分别与8个管脚相连,在此例中为P1,通过开关的开闭,P1值范围为0x00~0xff,即0~255,之后将该数值显示在数码管上。

对于一个多位数,可以通过%和/操作得到各位上的数字。Bai=num/100,shi=num/10%10,ge=num%10。

对于本例中的四位数码管,可以看到有A-G-DP共8个引脚,这8个引脚控制每个数码管的显示;1-4这4个引脚,控制显示的是哪个数码管。共阳极数码管,管脚给低电平即可。

上拉电阻和下拉电阻解释:所谓上拉电阻,即是管脚与该电阻相连,该电阻接一高电位(电源),这样在自然状态下,管脚为高电平。下拉电阻同理,电阻接地,则管脚为低电平。

在proteus里,上下拉电阻的阻值并不固定,在02例子内,下拉电阻为470R即可,在本例中,试验发现,470R时管脚电平仍为高电平,设置为1R时,才实现了下拉电阻。

获取完整仿真文件,可关注公众号:一名追风的少年。后台回复:proteus-switch

proteus51仿真(3)——拨码开关相关推荐

  1. proteus51仿真(7)——直流电机与步进电机

    这部分分为直流电机和步进电机. 直流电机:直流电机的使用较为简单.一个电机有两个接口,分别接不同的电位,产生一个驱动电流,电机即可转动.电机正反转与电流相关. 步进电机:步进电机的工作需要脉冲.对于n ...

  2. 计算机组成原理swbus,计算机组成原理实验 2.1 总线与寄存器 赖晓铮.ppt

    文档介绍: 计算机组成原理实验系列一.总线与寄存器二.进位加法器三.比较器(仲裁器)四.计数器五.运算器六.存储器七.时序发生器八.微程序控制器九.硬布线控制器赖晓铮博士华南理工大学******@sc ...

  3. 3.1 实例-流水灯、拨码开关、键盘驱动、OLED驱动Simulink仿真自动代码生成

    流水灯 SIMULINK 中逻辑设计利用最常规的延时开通关断设计,将引脚设置为高电平还是低电平根据开发板实际情况设置 ,仿真步长设置为 1s. 仿真模型如下图所示: 其基本逻辑就是在每 4 个步长的时 ...

  4. 数码管显示拨码开关编码 PROTEUS 和51单片机教程(附仿真文件+源代码)

    功能: 数码管显示拨码开关编码 (当八个拨码开关拨到不同位置时候,三位数码管会显示不同的编码 供参考代码如下: /* 数码管显示拨码开关编码 */ #include <reg51.h> t ...

  5. 智能水位检测系统proteus_基于单片机控制的智能检测系统Proteus仿真设计研究

    0引言近年来,单片机发展到了一个全新阶段,广泛应用于电子.机械控制.自动化生产设计等行业,并逐步延伸到智能控制的诸多领域.以单片机为控制核心的小型自动化生产检测系统,尤其在一些液体产品的检测等复杂工程 ...

  6. 利用数字电子计数知识设计并制作的数字电子钟(含multisim仿真),该数字钟具有显示星期、24小时制时间、闹铃、整点报时、时间校准功能

    多功能数字电子钟 仿真文件及文章全文请戳末尾链接 一.功能 1.显示时间 2.显示星期 3.时间校准 4.整点报时 5.(扩展功能)定时闹钟 二.原理 1.脉冲产生 2.计时 3.显示 4.整点报时 ...

  7. 【Multisim仿真】74LS47译码器驱动共阳数码管显示(0-8)数字显示

    [Multisim仿真]74LS47译码器驱动共阳数码管显示(0-8)数字显示 Multisim仿真演示 74ls47引脚功能 LT: 试灯输入,是为了检查数码管各段是否能正常发光而设置的.当LT=0 ...

  8. 单片机彩灯移动实验_实验一 单片机彩灯控制器的电路设计与仿真

    实验一 单片机彩灯控制器的电路设计与仿真 一.实验要求 仿照图 1-1 在 Proteus 中绘制一个基于 89C51 的流水灯控制器电路,开关可以控制流动 的方向,拨码开关可以调整流动的快慢. 二. ...

  9. 在c语言如何对拨码开关编程,拨码开关控制实验

    拨码开关控制实验 一.实验目的 1.了解ICETEK-F2812-A评估板在TMS320F2812DSP外部扩展存储空间上的扩展. 2.了解ICETEK-F2812-A评估板上拨码开关扩展原理. 3. ...

最新文章

  1. mpls工作原理通俗解释_用这两种方法向最终用户解释NLP模型的工作原理还是不错的...
  2. Linux系统下安装Mysql
  3. POJ 1486 Sorting Slides (二分图关键匹配边)
  4. matlab调用python数值精度改变吗_Matlab如何调用python脚本-matlab调用python数值精度改变吗 - PS下...
  5. 获取网络时间(国家标准时间)
  6. WIN10 修改MAC地址
  7. 测量平差理论知识结构梳理
  8. [转]用python来开发webgame服务端(2)
  9. java系统过载保护_浅谈过载保护
  10. android恢复出厂设置
  11. 浏览器崩溃原因大集合
  12. 使用javascript实现表单校验(聚焦onfocus()和离焦onblur()以及在指定位置输出innerHTML='')
  13. 客户关系管理项目——用户登录模块设计
  14. 3、关于onclick事件的两种写法
  15. R语言中dim函数_R语言中的方差分析方法汇总
  16. Disk Drill深度磁盘扫描,误删文件一键恢复
  17. Implementing Pruning Convolutional Neural Networks for Resource Efficient Inference in Mxnet
  18. word中公式的自动编号
  19. Rhino学习教程——1.3
  20. c++打印心形_打印心形

热门文章

  1. Java程序猿的毕业设计初稿怎么写?
  2. 小红书如何打造优质的商品笔记?
  3. 华三服务器序列号,H3C UIS服务器 FIST安装指导-6W101
  4. 质检总局:智能摄像头抽检八成存隐患,或致视频泄露
  5. ZynqLinux最小系统系列—— 9、一般Linux系统搭建(非Petalinux)
  6. 10000m3d城镇生活污水处理工艺设计
  7. 美通企业日报 | GSK辉瑞完成消费保健品业务合并;温德姆未来三年中国开500家酒店...
  8. photoshop的滤色和正片叠底的混合模式分析
  9. 13种酷炫的html5 3D图片切换代码
  10. CMake编译报错:Cannot specify link libraries for target “test“ which is not built by this project.