ncverilog脚本_NC-Verilog仿真详解
ncverilog仿真详解
发表在ASIC/FPGA/汇编, 学习笔记, 编程开发 | 由阿布 | 十一月26, 2011 | 0标签: ncverilog, 仿真
数位IC工具简介——Simulator 工具
ModelSim
ModelSim是Mentor公司所推出的软体, 主要用来当作VHDL的模拟器, 也是目前CIC在VHDL方面的主要的模拟软体;但ModelSim不仅支援VHDL的模拟,同样也可用来当Verilog的模拟器, 更进一步的, ModelSim也支援
VHD&Verilog的混合模拟, 这对於单晶片系统(SoC)的发展上, 矽智产(IP)是来源来自不同的地方, 有些矽智产是采用VHDL描述, 有些是Verilog描述, 因此这是不可或缺的功能. 所以CIC引进ModelSim这一套软体.
NCSim
NC-SIM 为Cadence 公司之VHDL与Verilog混合模拟的模拟器(simulator),可以帮助IC 设计者验证及模拟其所用VHDL与Verilog混合计设的IC功能.
NCVerilog
NC-Verilog 为Cadence 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC 设计者验证及模拟所设计IC 的功能.使用NC-Verilog软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路.
VCS
VCS 为Synopsys 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC设计者验证及模拟所设计IC 的功能.使用VCS 软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路.
ncverilog使用
ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;
ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step
ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)
基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作
ncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式
三命令模式:
ncvlog -f run.f
ncelab tb -access wrc
ncsim tb -gui
第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误
注意:ncvlog执行以后将产生一个名为INCA_libs的目录和一个名为worklib的目录
第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。
注意:ncelab要选择tb文件的module,会在snapshot文件夹下生成snapshot的module文件
第三个命令中,gui选项是加上图形界面
ncverilog脚本_NC-Verilog仿真详解相关推荐
- 异步FIFO设计:各个模块的作用及Verilog代码详解
实现原理参考:异步FIFO---Verilog实现_alangaixiaoxiao的博客-CSDN博客_异步fifo 代码参考:IC基础(一):异步FIFO_MaoChuangAn的博客-CSDN博客 ...
- (08)System Verilog 队列详解
(08)System Verilog 队列详解 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog 队列详解 5)结语 1.2 FPGA简 ...
- Linux shell脚本入门到实战详解(一)
Linux shell脚本入门到实战详解 一.shell 入门简介 1.1 什么是 shell # 为啥介绍shell 上次出了一篇linux 命令详解,得到了很多小伙伴的认可,有部分粉丝私信我,让我 ...
- SVPWM控制技术+Matlab/Simulink仿真详解
文章目录 前言 一.SVPWM的控制原理 二.空间矢量的概念 三.电压与磁链空间矢量的关系 四.三相逆变器的基本电压空间矢量 五.SVPWM 算法的合成原理 六.SVPWM 算法推导 6.1.七段式S ...
- 基于模糊控制的Simulink仿真详解
基于模糊控制的Simulink仿真详解 Simulink的介绍 Simulink是一个模块图环境,用于多域仿真以及基于模型的设计.它支持系统设计.仿真.自动代码生成以及嵌入式系统的连续测试和验证. S ...
- 单相全控桥有源逆变电路matlab仿真,单相桥式全控整流及有源逆变电路的MATLAB仿真详解.doc...
单相桥式全控整流及有源逆变电路的MATLAB仿真详解.doc 学 号 天津城建大学 控制系统仿真 大作业 单相整流-逆变电路仿真模型 学生姓名班级成绩学院 年 月 日 一.仿真电路原理图:2 二.单相 ...
- 【ros学习】14.urdf、xacro机器人建模与rviz、gazebo仿真详解
一.起因 学校的这学期课程是ros机器人开发实战,我们学习小组也要搞一个自己的机器人模型,我们组又叫葫芦组,所以我就做了个葫芦形状的机器人,虽说有点丑,本来想用maya建模再导入的,奈何不太懂maya ...
- PWM控制技术+Simulink仿真详解
想要理解SVPWM控制技术,首先要了解PWM控制技术. PWM控制技术就是对脉冲宽度进行调制的技术,通过对一系列脉冲宽度进行调制来等效获得所需要的波形(包括形状和幅值). PWM控制的基本原理:冲量( ...
- Linux Shell脚本入门到实战详解
一.shell 入门简介 1.什么是 shell shell 脚本简介 网上有很多 shell 的概念介绍,其实都很官方化,如果你对 linux 命令很熟悉,那么编写 shell 就不是一个难事,sh ...
最新文章
- java struts2配置_Struts2初始化配置的问题
- docker笔记1----Get Docker
- hadoop学习-海量日志分析(提取KPI指标)
- python函数调用键盘热键_如何使用Python控制键盘和鼠标
- 国外的电子商务开发情况
- 【PAT乙】1080 MOOC期终成绩 (25分)
- 基于堆叠式长短期记忆神经网络模型StackingLSTM的时间序列数据预测模型构建
- Java并发编程之美系列汇总
- Edraw Office Viewer 8.X Edraw Office Viewer
- WebView load**方法 加载资源【总结】
- C#使用Minidump导出内存快照Minidumper
- dk编程真好玩 python_皮皮学编程(1):从Scratch到Python
- ❤女朋友生日❤ HTML+css3+js 实现抖音炫酷樱花3D相册 (含背景音乐)程序员表白必备
- WIFI万能钥匙显密码版
- 《嵌入式 - 模块》RW007 WIFI模块联网与使用(一)
- 佛说:前生五百次的凝眸,换今生一次的擦肩
- VirtualBox用 PQ与Fdisk 激活主分区(图解) 用于解决硬盘无法引导系统
- c语言中语句开始的标志是,第一个单片机程序(C语言编写)
- 22个无版权限制的高清无码图库站
- adb删除软件_苹果免越狱如何安装ipa 苹果免越狱安装软件安装教程【详解】
热门文章
- 避坑!使用 Kubernetes 最易犯的 10 个错误
- i 智慧 | 深度广度并举,AWS容器服务再推利器!
- 云现场 | 把开发者宠上天的节奏?恐怕也只有华为云啦!——记首次探访华为云“一日游...
- vue里面is_vue中的is
- idea 安装php插件_免费版的 IDEA 为啥不能使用 Tomcat ?
- java instance变量_java 类方法和实例方法 以及 类变量和实例变量
- SpringBoot 整合 Shiro Thymeleaf Mysql 动态授权
- JavaScript-Iterable迭代
- vs未能找到程序集platform.winmd_PP体育直播大连人VS恒大:新老8冠王首尾对决竟有十大看点...
- java对mysql读写权限设置_Java学习笔记——MySQL开放3306接口与设置用户权限