目录

1、[Synth 8-2611] redeclaration of ansi port XXX is not allowed

2、[Constraints 18-619] A clock with name 'InClk' already exists

3、 [Synth 8-2611] redeclaration of ansi port InClk is not allowed

4、 [Vivado 12-1017] Problems encountered:

5、 [Constraints 18-5210] No constraint will be written out.

6、[Common 17-1548] Command failed: can't read "output_ports": no such variable

7、[filemgmt 20-2001] Source scanning failed (terminated by user) while processing fileset "sources_1" due to unrecoverable syntax error or design hierarchy issues. Recovering last known analysis of the source files.

8、[Synth 8-1849] concatenation with unsized literal; will interpret as 32 bits

9、[Constraints 18-549] Could not create 'SLEW' constraint because cell 'OBUFDS_inst' is not directly connected to top level port. 'SLEW' is ignored by Vivado but preserved inside the database.

1、[Synth 8-2611] redeclaration of ansi port XXX is not allowed

程序中重复声明输出端口信号

2、[Constraints 18-619] A clock with name 'InClk' already exists

描述:

在约束文件XDC(SCOPED_TO_REF、SCOPED_TO_CELLS)中使用“create_clock -name”约束时,在打开综合设计或实现设计时,或者在综合或实现期间,可以观察到以下警告。

[Constraints 18-619] A clock with name 'InClk' already exists overwriting the previous clock with the same name. ["E:/Vivado_Project/DispTimGen/DispTimGen.srcs/constrs_1/new/DispTimGenTiming.xdc":1]

解决方案:

如果时钟约束已存在于 IP XDC 中,则无需在顶级 xdc 中写入约束。

clk_wiz_0.xdc文件中如下已经约束InClk;

当设计中有多个作用域单元/引用的实例时会显示此警告,因此多次读取相同的“create_clock -name”约束。

如警告消息中所示,仅最后一个读取保留,所有其他读取都丢失。

推荐的解决方案是从 create_clock 命令中删除 -name 选项。

如果未使用 -name 选项,系统会根据网络名/位置创建唯一名称。

3、 [Synth 8-2611] redeclaration of ansi port InClk is not allowed

输入端口不需要申明wire型

4、 [Vivado 12-1017] Problems encountered:

[Vivado 12-1017] Problems encountered: Failed to delete one or more files in run directory E:/Vivado_Project/DispTimGen/DispTimGen.runs/synth_1

描述:保存Project文件夹为可读模式

解决方案:设置保存Project文件权限,设置为读写模式

5、 [Constraints 18-5210] No constraint will be written out.

解决方案:Vivado 中的一个已知错误,将在 2020.1 中修复(可以忽略)

6、[Common 17-1548] Command failed: can't read "output_ports": no such variable

描述:set_input_delay时,端口命名错误

解决方案:set_input_delay端口命名时,将< >去掉

7、[filemgmt 20-2001] Source scanning failed (terminated by user) while processing fileset "sources_1" due to unrecoverable syntax error or design hierarchy issues. Recovering last known analysis of the source files.

重启软件可解决

8、[Synth 8-1849] concatenation with unsized literal; will interpret as 32 bits

问题在了 {} 里面的 “0” 了,原来在拼接符中的0,如果不指定其位数,则软件会将其默认为32bit,如果这个0不在高位,那么在进行赋值的时候就会形成了将一个很多位的数据赋值给了2bit的BramRst。

9、[Constraints 18-549] Could not create 'SLEW' constraint because cell 'OBUFDS_inst' is not directly connected to top level port. 'SLEW' is ignored by Vivado but preserved inside the database.

约束 [18-549] 无法创建“SLEW”约束,因为单元“OBUFDS_inst”未直接连接到顶级端口。Vivado 会忽略“SLEW”,但会保留在数据库中。

注意:INBUFDS与OBUFDS这类源语言是必须要有硬件连接的In或Out接口!

————————————————

Vivado 常见Warning问题解决方法说明相关推荐

  1. 速达服务器账套定期维护么,速达软件常见操作问题解决方法

    <速达软件常见操作问题解决方法>由会员分享,可在线阅读,更多相关<速达软件常见操作问题解决方法(11页珍藏版)>请在人人文库网上搜索. 1.速达软件常见操作问题解决方法,速达软 ...

  2. 电脑技巧:电脑安装操作系统、重装系统常见的问题解决方法!

    重装系统现在也不是什么难事,但在重装过程中也会有经常遇到一些问题的,这里介绍一些比较常见的问题及其解决方法. 1.提示权限不足 当前用户的Windos系统对系统盘没有读写权限 解决方法: 将所有杀毒软 ...

  3. PDH光端机常见故障问题解决方法

    在数字通信传输系统中,有两种数字光端机系列,一种叫"准同步数字系列光端机"(PlesiochronousDigitalHierarchy),简称PDH光端机:另一种叫"同 ...

  4. 【转】20个Cydia常见错误问题解决方法汇总

    对于已经越狱的用户来说,经常会使用Cydia来安装一些酷炫或实用插件,但是有时候它总是会出现一些问题,以下收集了在Cydia经常遇到的问题,供大家参考: 一.主屏幕没有 Cydia 图标 1.设备需已 ...

  5. Windows操作系统常见安全问题解决方法

    使用Windows的人非常多,而Windows系统的安全问题也越来越被人们关注.虽然Windows的漏洞众多,安全隐患也很多,不过经过适当的设置和调整,你还是可以用上相对安全的Windows的.本文就 ...

  6. mysql编码是乱码_mysql中文乱码 常见编码问题解决方法分享

    我是真的服了 mysql默认字符不是utf-8也不是GBK而是拉丁文字?? 在增删数据时 "中文字符" 老是乱码不停!害得我浪费不少时间在这上面 为各位之后不走坑 再此留下解决方法 ...

  7. eclipse中Android模拟器常见的问题解决方法汇总

    [eclipse中Android模拟器,DDMS看不到设备] 在eclipse中启动模拟器AVD后,刚启动的时候,在控制台会看到相关的设备,在DDMS中也会有设备列表,只不过是offline的,但等到 ...

  8. 忘川风华录读取服务器配置文件失败,《忘川风华录》公测常见异常问题解决方法 新手攻略...

    导 读 忘川风华录在今日正式公测,其中也会碰到一些常见的问题,那么下面就一起来看下忘川风华录公测常见问题吧,希望对大家有所帮助. Q:<忘川风华录>公测开服时间是? A:<忘川风华录 ...

  9. 加好友饥荒服务器没有响应,饥荒TGP版常见问题解决方法 打不开礼包领不了怎么办...

    <饥荒>TGP版游戏启动运行中,玩家可能会遇到各种预想不到的问题,如无法启动.礼包不能领取等,那么该如何解决呢?今天小编带来一位玩家分享的<饥荒>TGP版常见运行问题解决方法, ...

最新文章

  1. Java反编译Jar包和Android APK(类似.NET Reflector)
  2. OpenGL 点光源的多遍阴影贴图
  3. sql 2008 R2添加对MySql的远程服务器链接
  4. android系统设置在哪里,android-如何在系统settings里添加设置选项
  5. 交叉编译termcap1.3.1
  6. IDEA 值得推荐的十几款优秀插件,狂,拽,屌!
  7. LY.JAVA面向对象编程.内存图
  8. mongodb 的 GridFS 详细分析(二)
  9. LTCC带通滤波器设计
  10. 雪豹10.6升级10.8
  11. zabbix与nagios对比
  12. 电容实际等效模型(容抗、感抗、品质因数Q)
  13. 微信小程序调用相册和相机
  14. opencv 风挡轮廓补全
  15. 什么是CUDA与CUDNN
  16. GAN生成对抗网络论文翻译(一)
  17. 三大运营商最新的手机号段分配情况
  18. 2012,独立B2C已死!天猫战后观格局
  19. mymps蚂蚁分类信息系统基本标签
  20. 工业机器人上下料数控车床实训平台

热门文章

  1. 【Java】广州三本秋招经历
  2. Hadoop化繁为简-从安装Linux到搭建集群环境
  3. 【转】约翰。克里斯朵夫的评论
  4. 2005年度中国软件企业十大领军人物
  5. 通过旋转三个div实现正六边形图片展示
  6. [IOS APP]丰乳肥臀-莫言有声小说
  7. MATLAB中使用LimeSDR
  8. mc盗版服务器显示皮肤,我的世界为啥不显示皮肤,为什么联机时显示不出皮肤...
  9. 数据分析师需要具备哪些能力? | 洞见
  10. 关于PLC看门狗程序编写