提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档

文章目录

  • 前言
  • 一、频率计是什么
  • 二、使用步骤
    • 1.测量方法
    • 2.测周方法:
    • 3.系统框图
  • 总结

前言

所谓“频率”,就是周期性信号在单位时间(秒)内变化的次数。


一、频率计是什么

所谓“频率”,就是周期性信号在单位时间(秒)内变化的次数。若在一定的时间间隔T内计数,计得某周期性信号的重复变化次数为N,则该信号的频率可表达为:

                                                 f =N/ T

所以测量频率就要分别知道N和T的值,由此,测量频率的方法一般有三种:测频方法、测周方法和等精度测量。

测频方法:这种方法即己知时基信号(频率或周期确定)做门控信号,T为已知量,然后在门控信号有效的时间段内进行输入脉冲的计数,原理图如下图所示:

二、使用步骤

1.测量方法

首先,被测信号①(以正弦波为例)经过放大整形后转变成方波脉冲②,其重复频率等于被测信号频率。把方波脉冲②加到闸门的输入端。由一个高稳定的石英振荡器和一系列数字分频器组成了时基信号发生器,它输出时间基准(或频率基准)信号③去控制门控电路形成门控信号④,门控信号的作用时间T是非常准确的(由石英振荡器决定)。门控信号控制闸门的开与闭,只有在闸门开通的时间内,方波脉冲②才能通过闸门成为被计数的脉冲⑤由计数器计数。闸门开通的时间称为闸门时间,其长度等于门控信号作用时间T。比如,时间基准信号的重复周期为1S,加到闸门的门控信号作用时间T亦准确地等于1S,即闸门的开通时间——“闸门时间”为1S。在这一段时间内,若计数器计得N=100000个数,根据公式f =N /T,那么被测频率就是100000Hz。如果计数式频率计的显示器单位为“KHz”,则显示100.000KHz,即小数点定位在第三位。不难设想,若将闸门时间设为T=0.1S,则计数值为10000,这时,显示器的小数点只要根据闸门时间

T的改变也随之自动往右移动一位(自动定位),那么,显示的结果为100.00Khz。在计数式数字频率计中,通过选择不同的闸门时间,可以改变频率计的测量范围和测量精度。


2.测周方法:

测周方法:
被测信号(频率或周期待测)做门控信号,T为未知量,做门控信号T,然后在门控信号有效的时间段内对时基信号脉冲计数,原理图如下图所示: 测周方法:

等精度测量法的核心思想是通过闸门信号与被测信号同步,将闸门时间t控制为被测信号周期长度的整数倍。测量时,先打开预置闸门,当检测到被测信号脉冲沿到达时,标准信号时钟开始计数。预置闸门关闭时,标准信号并不立即停止计数,而是等检测到被测信号脉冲沿到达时才停止,完成被测信号整数个周期的测量。测量的实际闸门时间可能会与预置闸门时间不完全相同,但最大差值不会超过被测信号的一个周期。在等精度测量法中,相对误差与被测信号本身的频率特性无关,即对整个测量域而言,测量精度相等,因而称之为“等精度测量”。标准信号的计数值越大则测量相对误差越小,即提高门限时间r和标准信号频率f。可以提高测量精度。在精度不变的情况下,提高标准信号频率可以缩短门限时间,提高测量速度。

3.系统框图


/***********************产生测试时钟**************************************/
always @(posedge sysclk)begin if(counter==15'b110_0001_1010_1000)begintest_clk<=~test_clk;//500HZcounter<=15'b0;endelsecounter<=counter+1'b1;end
/**********************产生1HZ的时钟************************************/
always @(posedge sysclk)begin if(clk_counter==25'b1_0111_1101_0111_1000_0100_0000)beginclk_div<=~clk_div;clk_counter<=25'b0;endelseclk_counter<=clk_counter+1'b1;end
/*********************测试待测信号***********************************/
always @(posedge inclk)begin if(clk_div)beginif(counter1==4'b1001)begin counter1<=4'b0;counter2<=counter2+1'b1;if(counter2==4'b1001)begin counter2<=4'b0;counter3<=counter3+1'b1;if(counter3==4'b1001)begin counter3<=4'b0;counter4<=counter4+1'b1;if(counter4==4'b1001)begin counter4<=4'b0;counter5<=counter5+1'b1;if(counter5==4'b1001)begin counter5<=4'b0;counter6<=counter6+1'b1;if(counter6==4'b1001)begincounter6<=4'b0;counter7<=counter7+1'b1;if(counter7==4'b1001)begin counter7<=4'b0;counter8<=counter8+1'b1;if(counter8==4'b1001)begincounter8<=4'b0;endend end  end end end end endelsecounter1<=counter1+1'b1;endelse

总结

可以使用PLL生成时钟进行测试

基于FPGA的频率计设计相关推荐

  1. 【频率计】基于FPGA的频率计设计

    利用Altera公司的FPGA器件为主控器:在软件上,采用VHDL硬件描述语言编程,再MAXPLUSII中开发,极大地减少了硬件资源的占用.该数字频率计的lHz-10MHz输入被测脉冲信号具有频率测量 ...

  2. 基于FPGA的FFT设计

    基于FPGA的FFT设计 1.verilog源代码还有实验报告 2.FFT的主要算法 FFT算法并不是一种新的理论算法,它只是用来计算DFT的快速算法,所以它是以DFT为基础的.本课题采用的是基-2 ...

  3. 基于 FPGA Vivado 信号发生器设计(附源工程)

    今天给大侠带来基于 FPGA Vivado 信号发生器设计,开发板实现使用的是Digilent basys 3,如有想要入手 basys 3 开发板的,可以联系牛总:18511371833.话不多说, ...

  4. 频谱仪设计基于FPGA的频谱仪设计,可以测试分析多种频率的频谱,分辨率100HZ

    频谱仪设计基于FPGA的频谱仪设计,可以测试分析多种频率的频谱,分辨率100HZ,配套资料多达100M,东西复杂 ID:982500594354361311卡哇伊2号小宝贝

  5. java 万年历绪论,基于FPGA的万年历设计

    基于FPGA的万年历设计(论文15000字) 摘要:万年历在人们的生活中一直扮演着重要的角色,可随着时代的发展,传统的纸质万年历已经越来越无法满足人们对于时间精确度以及便捷性的要求.随着电子科学的不断 ...

  6. 基于 FPGA Vivado 示波器设计(附源工程)

    今天给大侠带来基于 FPGA Vivado 示波器设计,开发板实现使用的是Digilent basys 3,如有想要入手 basys 3 开发板的,可以联系牛总:18511371833.话不多说,上货 ...

  7. FPGA项目(12)——基于FPGA的万年历设计

    首先称述一下所实现的功能:可以显示年.月.日.时.分.秒,有闹钟设置功能,闹钟时间到时,蜂鸣器响,报警.用6位数码管进行显示,分三个显示页面,第一个页面显示年月日,第二个界面显示时分秒,第三个页面显示 ...

  8. 基于fpga的数码管动态扫描电路设计_【至简设计案例系列】基于FPGA的密码锁设计(altera版)...

    秦红凯 明德扬FPGA科教 一.项目背景概述 随着生活质量的不断提高,加强家庭防盗安全变得非常重要,但传统机械锁的构造过于简单,很容易被打开,从而降低了安全性.数字密码锁因为它的保密性很高,安全系数也 ...

  9. 【示波器】基于FPGA的数字示波器设计实现

    1.软件版本 quartusii 2.本算法理论知识 框图结构: 1.缓存里包含有触发控制和触发存储器: 2.由设计指标需要1GsPs的采样率,最后的数据流要降到到250MbPs: 3.从指标来看从波 ...

最新文章

  1. cookie的作用域
  2. 【Android 逆向】函数拦截 ( GOT 表数据结构分析 | 函数根据 GOT 表进行跳转的流程 )
  3. 计算机视觉算法——目标检测网络总结
  4. 回文字符串—回文子串—Manacher算法
  5. springboot activiti工作流简单示例
  6. Android activity启动模式
  7. C++11新特性——移动语义,右值引用
  8. 关于EOS主节点竞选
  9. switch可以用什么手柄_steam设置switch手柄的步骤_Steam平台可以用switch良值pro手柄吗_怎么设置_9号资讯...
  10. 亮度对比度色调饱和度最佳_色彩三要素之—— “饱和度”
  11. MarkdownPad的安装,打开.md文件
  12. 关于在线评论有用性的论文研读笔记---51-60篇
  13. Java开源项目合集<一>
  14. m基于FPGA的通信数据帧加扰解扰verilog实现,包含testbench
  15. Raspberry Pi 树莓派连接温度传感器DS18B20
  16. 全新开源版知识付费系统源码 支持多终端合一 含完整前后端+搭建教程
  17. 解析OpenSSL漏洞:影响巨大 两年前已存在
  18. JavaWeb黑马旅游网-学习笔记07【旅游线路查询】
  19. 【说透区块链系列】区块链有哪些常见误区?
  20. Android使用NFC模拟M卡实现 (一)

热门文章

  1. magento系统自带批量小结
  2. 【转】你很闲吗?居然想在职场上收割友谊和性?
  3. 有几种检测方法可以检测沼气成分?
  4. Winform UI界面设计例程——自定义PictureBox
  5. 子网掩码和prefixlength
  6. html网页北京大学,北京大学1.html
  7. ADO数据库连接中的Persist Security Info参数的作用
  8. 转换CLOB字段类型为VARCHAR2
  9. UMLChina建模竞赛第3赛季第2轮
  10. python里的class定义类为什么括号有object