​​​​​​​

测试项:

1、OS

2、ICC

3、GT电压

4、PATTERN

5、GT电压

6、OS

DATA/GATE使用数字通道 T1/T2

问题1:os测试异常

在测试OS时,DATA/GATE=PEB_ALL,使用PEB_ALL测试两个OS

需要使用fisetting/FVSETTING以及TOTAL_AVG/还有TRIG

peb.ppmu.FISetting("OS_PEB",-200E-6,peb.ppmu.I_RNG_2MA,2,-2,2);
    peb.ppmu.MVSetting("OS_PEB",1,peb.ppmu.TOTAL_AVG);
    peb.ppmu.Trig();
    util.GetMeasValue("OS_PEB",OS);
    util.TestLog("OS_PEB",OS);

在量测GATE电压时,针对单独引脚不需要setting/AVG/trig

peb.ppmu.FI("GT",0,peb.ppmu.I_RNG_20UA,4,-2);
    util.dlyms(2);
    peb.ppmu.MV("GT",1);
    util.GetMeasValue("GT",A);
    util.TestLog("V_GATE1",A);

问题2:GT脚在跑pattern期间,电压不恒定为高或低

故而在写pattern时,不使用GT脚,仅仅读写PIN1-DATA脚;

问题3:GT脚最初测试电压不对

需先测静态电流,及给VDD一个2V的电压;

DATA需外接500R到3V,USB5V需外接1K到3V,条件需给到位;

问题4:回码无低电平

程序中compare时间太短

设置边沿比较时间

peb.SetTimingSetPinEdge("0","PEB_PIN",0,500,200,450,peb.DRV_NF,peb.CMP_EDGE);

周期为500ns,如果compare时间设置成350-450ns,则测试异常,设置200-450ns,测试ok

问题5:测试wait time时间,

21us±20%,使用38个500ns加上下两个XX去匹配wait time时间

问题6:读回码不稳定

如高电平是L(2us)H(8us),及L-4个周期 ,H-16个周期

针对高低电平,单独进行读取;如L-4个周期,先match100次L,如果match到则 RPT 2次L

保留一次的余量;H一样,先match 100次H,match到后, RPT 14次H,保留一次余量;

HEAD DT;
ST: RPT 2000 (1)TS0;                                                                               RPT 27  (0);//起始码                                 RPT 9   (1);//  RPT 14   (0);                                         RPT 6    (1);//0                                      RPT 14   (0);                                         RPT 6    (1);//0                                       RPT 14   (0);                                         RPT 6    (1);//0                                      RPT 14   (0);                                         RPT 6    (1);//0    0000    RPT 4   (0);                                         RPT 16  (1);//1                                      RPT 4   (0);                                         RPT 16  (1);//1                                      RPT 4   (0);                                         RPT 16  (1);//1                                      RPT 14  (0);                                         RPT 6   (1);//0   1110    RPT 27  (1);//wait   RPT 14   (0);                                         RPT 6    (1);//0                                      RPT 14   (0);                                         RPT 6    (1);//0                                       RPT 14   (0);                                         RPT 6    (1);//0                                      RPT 14   (0);                                         RPT 6    (1);//0 RPT 14   (0);                                         RPT 6    (1);//0                                      RPT 14   (0);                                         RPT 6    (1);//0                                       RPT 14   (0);                                         RPT 6    (1);//0                                      RPT 4    (0);                                         RPT 16   (1);//1   //0000 0001RPT 27   (1);//wait         RPT 14   (0);                                         RPT 6    (1);//0                                      RPT 14   (0);                                         RPT 6    (1);//0                                       RPT 14   (0);                                         RPT 6    (1);//0                                      RPT 14   (0);                                         RPT 6    (1);//0 RPT 14   (0);                                         RPT 6    (1);//0                                      RPT 14   (0);                                         RPT 6    (1);//0                                       RPT 14   (0);                                         RPT 6    (1);//0                                      RPT 14   (0);                                         RPT 6    (1);//0  //0000 0000RPT 27   (1);//wait     RPT 14    (0);                                         RPT 6         (1);//0     RPT 4       (0);                                         RPT 16    (1);//1  RPT 14    (0);                                         RPT 6      (1);//0   RPT 14    (0);                                         RPT 6        (1);//0 RPT 4     (0);                                         RPT 16   (1);//1     RPT 14    (0);                                         RPT 6        (1);//0                                     RPT 14    (0);                                         RPT 6     (1);//0 RPT 14    (0);                                         RPT 6     (1);//0   //0100 1000RPT 27    (1);//wait   RPT 27    (0);//
//                (X);
//                (X);(X);
RPT 38       (H);(X);//             (X);
//              (X);MATCH         100       (L);//1                            RPT       2     (L);  MATCH      100     (H);   RPT       14     (H);  MATCH       100     (L);//0      RPT       14     (L);  MATCH       100     (H);  RPT      2    (H);     MATCH          100       (L);//0          RPT       14   (L);             MATCH        100       (H);             RPT       2        (H);   MATCH        100     (L);//0                  RPT       14   (L);                 MATCH        100     (H);                   RPT       2      (H);                   MATCH        100     (L);//1                          RPT       2     (L); MATCH       100   (H);   RPT       14     (H);      MATCH       100     (L);//1                            RPT       2        (L);  MATCH       100     (H);   RPT       14     (H);  MATCH       100       (L);//1                            RPT       2          (L);  MATCH     100     (H);   RPT       14     (H);  MATCH       100       (L);//0                  RPT       14   (L);                 MATCH        100       (H);                 RPT       2        (H);  RPT       25    (H);//wait                              MATCH       100       (L);//1                            RPT       2        (L);  MATCH     100       (H);   RPT       14     (H);                              MATCH       100       (L);//1                            RPT       2        (L);  MATCH       100     (H);   RPT       14     (H);  MATCH       100       (L);//0                  RPT       14   (L);                 MATCH        100       (H);                 RPT       2        (H);           MATCH          100       (L);//0                  RPT       14       (L);                 MATCH      100     (H);                 RPT       2        (H); MATCH      100     (L);//1                            RPT       2        (L);  MATCH       100     (H);   RPT       14     (H);    MATCH         100       (L);//0                  RPT       14   (L);                 MATCH      100     (H);                 RPT       2        (H);    MATCH       100     (L);//0                  RPT       14   (L);                 MATCH        100       (H);                 RPT       2        (H);             MATCH      100     (L);//1                            RPT       2        (L); MATCH      100       (H);   RPT       14     (H);         SP:          RPT 200   (X);
#include "stdafx.h"
#include "HX51_SOT23_6_MT666(MT666S-E75)_REVA_FT_userprog.h"bool BPASS[MAX_TESTING_SITE];
double TEMP[8]={0,0,0,0,0,0,0,0};
int site[8],set[8];
double A[8],B[8],C[8],D[8];void System_Init(void)
{}void System_Exit(void)
{}void Device_Init(void)
{}void Device_Eot(void)
{ovc.FV("OVC_ALL",0,10E-3,ovc.V_RNG_4V,ovc.I_RNG_10MA,false,2);qvc.FV("QVC_ALL",0,4,10e-3,qvc.V_RNG_4V,qvc.I_RNG_20m,qvc.DUTON,false,0,0);qvc.FV("QVC_ALL",0,4,10e-3,qvc.V_RNG_4V,qvc.I_RNG_20m,qvc.DUTOFF,false,0,0);tmu.SetUR("K_ALL",tmu.OFF,3); peb.SetDCLRelay("PEB_PIN",false);
}void Device_Eow(void)
{}void OS(void)
{            Device_Eot();PG_VALUE OS;peb.ppmu.FISetting("OS_PEB",-200E-6,peb.ppmu.I_RNG_2MA,2,-2,2);peb.ppmu.MVSetting("OS_PEB",1,peb.ppmu.TOTAL_AVG);peb.ppmu.Trig();util.GetMeasValue("OS_PEB",OS);util.TestLog("OS_PEB",OS);ovc.FI("VDD",-100E-6,2,ovc.V_RNG_2V,ovc.I_RNG_1MA,true,2);util.dlyms(2);ovc.MV("VDD",1);util.GetMeasValue("VDD",TEMP);util.TestLog("OS_VDD",TEMP);ovc.FI("US",-100E-6,2,ovc.V_RNG_2V,ovc.I_RNG_1MA,true,2);util.dlyms(2);ovc.MV("US",1);util.GetMeasValue("US",TEMP);util.TestLog("OS_US",TEMP);ovc.FI("PO",-100E-6,2,ovc.V_RNG_2V,ovc.I_RNG_1MA,true,2);util.dlyms(2);ovc.MV("PO",1);util.GetMeasValue("PO",TEMP);util.TestLog("OS_PO",TEMP);ovc.FV("OVC_ALL",0,1E-3,ovc.V_RNG_4V,ovc.I_RNG_10MA,false,0);
}void I_VDD(void)
{    ovc.FV("VDD",2,1e-3,ovc.V_RNG_4V,ovc.I_RNG_1MA,true,1);util.dlyms(2);ovc.MI("VDD",10);util.GetMeasValue("VDD",TEMP);for(int i=0;i<4;i++){TEMP[i]=TEMP[i]*1e6;}util.TestLog("I_VDD",TEMP);ovc.FV("VDD",2,1e-3,ovc.V_RNG_4V,ovc.I_RNG_1MA,false,1);}
void TEST(void)
{   tmu.SetUR("K2",tmu.ON,3);util.dlyms(2);ovc.FV("DT_A",3,10e-3,ovc.V_RNG_4V,ovc.I_RNG_10MA,true,1);util.dlyms(2);qvc.FV("US_3V",3,4,10e-3,qvc.V_RNG_4V,qvc.I_RNG_20m,qvc.DUTON,false,0,0);util.dlyms(2);peb.ppmu.FI("GT",0,peb.ppmu.I_RNG_20UA,4,-2);util.dlyms(2);peb.ppmu.MV("GT",1);util.GetMeasValue("GT",A);util.TestLog("V_GATE1",A);peb.SetPinLevel("PEB_PIN",3,0,1,0.6,0,0,0);peb.SetDCLRelay("PEB_PIN",true);peb.SetTimingSetPeriod("0",500);peb.SetPinMode("PEB_PIN",peb.IO_DRV_0,peb.IO_NF,peb.MASKFAIL_DISABLE);peb.SetTimingSetPinEdge("0","PEB_PIN",0,500,200,450,peb.DRV_NF,peb.CMP_EDGE);peb.SetTestPatternOption("DT2639_1.ST","DT2639_1.ST",1,false);peb.TestPattern("DT2639_1.ST","DT2639_1.SP",BPASS);util.FuncLog(true,BPASS);util.dlyms(5);peb.ppmu.FI("GT",0,peb.ppmu.I_RNG_2MA,4,-2);peb.ppmu.MV("GT",1);util.GetMeasValue("GT",A);util.TestLog("V_GATE2",A);Device_Eot();}void OS1(void)
{OS();
}

MT666数字程序测试相关推荐

  1. 汇编语言: 从键盘上输入一串字符(用回车键结束,使用 10 号功能调用。)放在 STRING 中,试 编制一个程序测试字符串中是否存在数字。如有,则把 CL 的第 5 位置 1,否则将该位置置 0。

    从键盘上输入一串字符(用回车键结束,使用 10 号功能调用.)放在 STRING 中,试 编制一个程序测试字符串中是否存在数字.如有,则把 CL 的第 5 位置 1,否则将该位置置 0. data s ...

  2. 180多个Web应用程序测试示例测试用例

    180多个Web应用程序测试示例测试用例 假设:假设您的应用程序支持以下功能 各种领域的表格 儿童窗户 应用程序与数据库进行交互 各种搜索过滤条件和显示结果 图片上传 发送电子邮件功能 数据导出功能 ...

  3. 虚拟+现实:半实物仿真测试和全数字仿真测试有效保证嵌入式系统的健壮与可靠

    已剪辑自: http://www.kiyun.com/Show/news/cid/11/id/273.html 随着现代信息技术与软硬件技术的快速发展,嵌入式系统的功能日益强大,嵌入式设备和软件应用领 ...

  4. 【数字半导体测试基础】新手入门

    数字半导体测试基础 数字半导体测试基础 前言 一.最基本的电路知识 二.半导体和ATE(Aotomated Test Equipment)概述 1. Wafers,Dice,Packages 2.AT ...

  5. 全数字仿真测试工具Edst

    产品概述 全数字仿真测试工具是基于嵌入式处理器的全数字仿真,在全数字仿真环境下,对嵌入式C语言和汇编语言软件的分析.仿真运行.故障注入和软件测试等. 全数字仿真测试工具适用于现代的嵌入式系统的验证.开 ...

  6. 从一到二:利用mnist训练集生成的caffemodel对mnist测试集与自己手写的数字进行测试...

    通过从零到一的教程,我们已经得到了通过mnist训练集生成的caffemodel,主要包含下面四个文件: 接下来就可以利用模型进行测试了.关于测试方法按照上篇教程还是选择bat文件,当然python. ...

  7. 浅谈信息学竞赛考场策略及程序测试

    浅谈信息学竞赛考场策略及程序测试 主题 本文作者是江苏省常州高级中学吴翼同学发布的信息学竞赛江苏省论文.内容对于大家备考十分有帮助,特分享给同学们,希望在中秋假期给大家的学习增加一点动力! 考场策略和 ...

  8. 产品定价数字能量测试_什么是数字产品?

    产品定价数字能量测试 作为产品经理和产品负责人,我们需要照顾的产品是我们工作的基础:它们决定了我们的日常活动并确定了我们的责任. 我们创建产品策略和产品路线图: 我们管理产品积压,并使用最少可行的产品 ...

  9. 凯云科技——装备软件全数字仿真测试平台DSTP

    1)产品简介 装备软件全数字仿真测试平台(DSTP)是基于嵌入式处理器的全数字仿真测试系统,主要功能是仿真真实的嵌入式处理器内核(包括处理器的内存.寄存器.运算器等),同时提供可视化的外部场景模型和环 ...

  10. Win2008 R2 RemoteApp深度体验之四,RemoteApp程序测试

    RemoteApp程序测试<?xml:namespace prefix = o ns = "urn:schemas-microsoft-com:office:office" ...

最新文章

  1. 嵌入式linux支持python,【python】嵌入式设备上python的使用
  2. 摘:C/C++中时间类time.h
  3. 重磅剧透!阿里巴巴计划开源 Nacos,为Dubbo生态发展铺路
  4. 爱奇艺在 Dubbo 生态下的微服务架构实践
  5. JZOJ 5925. 【NOIP2018模拟10.25】naive 的瓶子
  6. java js关键字_JavaScript关键字和保留字
  7. 修改 Joomla! 1.5 的 HTML 输出而不动核心文件 (附api文档)
  8. 【HTML5】媒体元素标签audio video
  9. sql语句延时执行或者是指定时间执行
  10. Linux中搭建YUM
  11. 六石管理学:培训重点应该是工作技能
  12. VScode报错显示unins000.exe
  13. Unity 下载 国际版 去壳版,有网就行,下载不下来你打我。
  14. 该死的配置系统未能初始化
  15. PHP商城网站绑定中国银联在线支付接口
  16. 写给大佬看的STP算法详细配置实验过程
  17. 神马不是浮云,有未来就能改变世界
  18. Linux知识点整理(五)—— Linux 磁盘与文件系统管理
  19. 如何编写一个简单的 Python 程序
  20. JAVA 性能调优相关命令

热门文章

  1. 计算机折线图教程,excel怎么插入折线图 excel怎么将多个折线图合并
  2. phpStorm和git解决冲突
  3. html如何显示ppt首页,aspx怎样显示ppt转换的html页面
  4. git管理账户忘记了_gitlab管理员账号密码重置
  5. 芯片设计流程最全讲解
  6. 电脑调分辨率黑屏了怎么办_Win10调分辨率后出现黑屏提示“超出工作频率范围”怎么办...
  7. 上海科技大学信息科学与技术研讨会(SSIST day1) 笔记
  8. 戴尔笔记本耳机插上仍然有外音
  9. python二元一次方程组用鸡兔同笼的思路来写编程_二元一次方程组应用 —鸡兔同笼...
  10. 基于docker1.7.03.1单机上部署hadoop2.7.3集群