Keil5 编写代码

交替更换电平信号来达到闪烁的目的。

#include <REGX52.H>
#include <INTRINS.H>/*此方法由 STC-ISP 工具生成
*/
void Delay500ms()       //@12.000MHz
{unsigned char i, j;i = 195;j = 138;do{while (--j);} while (--i);
}void main()
{while(1){// D1亮P2 = 0xFE;Delay500ms();// D2亮P2 = 0xFD;Delay500ms();// D3亮P2 = 0xFB;Delay500ms();// D4亮P2 = 0xF7;Delay500ms();// D5亮P2 = 0xEF;Delay500ms();// D6亮P2 = 0xDF;Delay500ms();// D7亮P2 = 0xBF;Delay500ms();// D8亮P2 = 0x7F;Delay500ms();}
}

51单片机流水灯LED相关推荐

  1. 51单片机流水灯制作

    文章目录 51单片机流水灯制作 初试与复试 初试 复试 制作 分工 制作之硬件 制作之软件 总结 51单片机流水灯制作 我不得不承认自己的毅力实在是太差了,原先准备一星期写一篇博客的目标一致没有实现, ...

  2. 51单片机流水灯现象1

    **` 51单片机流水灯程序 `** 初学者小白,分享上课时写的小程序和普中科技程序,感兴趣的可以瞧瞧. 程序如有相同冒犯了. /*********************************** ...

  3. 如何用多种代码实现51单片机流水灯

    用51单片机实现流水灯的方法有多种:普通电灯法,位移法,数组法.其次模拟电路的电流图也与点一盏LED相似.具体如下: 一   首先是最简单的代码: #include <reg52.h>   ...

  4. 51单片机-------流水灯(实验报告)

    实验二:LED流水灯 一.实验目的 掌握51单片机开发板的使用步骤: 掌握51单片机开发板所需软件的安装过程: 以LED流水灯实验为例子,掌握软件KEIL4的使用方法. 二.实验设备 实验仪器设备: ...

  5. 51单片机——流水灯

    51单片机--单向流水灯 编写程序8个LED灯从最高位依次点亮,每次只亮一盏灯,依此循环. 电路原理图 源代码 #include "reg51.h" #define u8 unsi ...

  6. 设计一:51单片机流水灯控制

    目录 一.设计内容 二.硬件电路分析 三.仿真原理图 四.程序设计 五.仿真结果 六.思考题 作者有话说 一.设计内容 本次设计使用4个按键,当KEY1按下时,P0口所接的发光二极管(D1~D8)以1 ...

  7. 51单片机流水灯的三种实现方法

    首先,介绍下原理.下图为主控芯片和流水灯模块的原理图.流水灯模块接在单片机的P1口,由原理图可以知道,在P1口给一个低电平即可点亮LED灯.相反,如果要LED灯熄灭,就要把P1口的电平变为高电平即可. ...

  8. 51单片机流水灯控制(5种方法)

    实现流程: 1. 新建一个工程(新项目)2. 查看原理图确定需求(流水灯)对应LED引脚在单片机上的哪个引脚3. 编写程序(通过查看原理图可以看到我们需要控制整个P2口以控制8个LED灯)4. 编译程 ...

  9. 51单片机流水灯用c语言,51单片机之流水灯(C语言和汇编两个版本)

    c语言 #include typedef unsigned char uint8; sbit ADDR0=P1^0; sbit ADDR1=P1^1; sbit ADDR2=P1^2; sbit EN ...

最新文章

  1. 推荐一位二本毕业1年,上海买房的大佬,牛逼!
  2. airtest web 录制滑块_Airtest 录屏总结,这不是一个简单的 recording!
  3. Ciruy英雄谭 Chapter 2 Web浏览器如何将数据委托出去(一)
  4. SSH之IDEA2017整合Struts2+Spring+Hibernate
  5. 【驱动笔记9】初探IRP
  6. reactjs使用eject暴露webpack核心配置
  7. 对于多表查询和转账的事务提交
  8. VUEX封装module
  9. stl::vector排序二例
  10. Atitit 数据校验法 目录 1. 用内置表单数据校验 简单快捷 1 1.1. input 元素的校验约束 — starting simple 1 1.1.1. required 属性 1 1.1
  11. JS定时器原理及案例
  12. Google Bazel简介
  13. Docker-compose编排MySQL+Nacos+Seata
  14. 安装VS2015卡在创建系统还原点
  15. 游戏中的造型师——3D美术
  16. 2、Ubuntu介绍加环境搭建详细教程
  17. java经典递归 背包问题
  18. Linux 下qW3xT.2,解决挖矿病毒
  19. DID-双重差分模型
  20. Vue3:全局注册(参数:globalProperties,组件:component)

热门文章

  1. vue创建项目解除git关联
  2. html表单 access,【Access基础】OpenForm打开窗体方法详解
  3. 提交一个骗子喵喵源码站
  4. redis配置文件使用相对路径
  5. java把汉字转换url_url中汉字编码互相转换
  6. julia 1.6 1.7 常见问题解决
  7. 你到底能用Python做什么?下面是Python的三个主要应用程序。
  8. linux如何获取声卡信息,ubuntu下怎么查看声卡型号?
  9. 二级计算机为让利消费者,2016年职称计算机考试Excel操作题及答案22
  10. Android 蓝牙对战五子棋项目实现(含人机对战功能)