时序分析模型

  典型的寄存器之间的时序分析模型如下图所示:

  FPGA中的时序分析就是分析时钟和数据之间的关系。上图中,展示的是时序分析中最基本的模型,寄存器与寄存器之间的时序分析模型。

建立时间分析

数据从输入到第一级寄存器到输入到第二级寄存器所需要经过的延时由触发器内部延时Tco、寄存器之间数据路径的走线延时Tdata和数据相较于扇出源时钟的延时Tclk1;
所以数据从第一级寄存器到达第二季寄存器的实际的到达时间可以表示为:data_arrival_time = Tclk1 + Tdata + Tco;
由于在这值中需要满足建立时间的要求,要求数据在采样沿时钟到达之间就稳定下来,因此有一个数据要求到达时间:data_arrival_require_time = Tcycle + Tclk2 - Tsu
由此可以推算出建立时间的余量 slack_of_setup = data_arrival_require_time - data_arrival_time
通过绘制时序分析图可以帮助理解。

pad_clk是扇出节点的时钟;
data_in_reg1是数据传输到寄存器1的D端数据,其相较于扇出节点时钟有Tclk1的延时;
Reg1_Q是从寄存器1的Q端输出的数据,其经历了在寄存器内部的延时Tco
Reg2_D是传输到寄存器2D端的数据,其经历了Tdata的数据走线传输延时;
由此可以看出,数据从扇出节点到寄存器2的D端经历的延时总共为:data_arrival_time = Tclk1 + Tdata + Tco
再看寄存器2的采样时钟:寄存器2的采样时钟,相较于扇出节点时钟有Tclk2的延时,在寄存器2的采样沿相较于扇出节点的发射沿的时间为:Tcycle + Tclk2
因为要满足建立时间,所以要求数据达到的时间可以表示为:data_arrival_require_time =Tcycle + Tclk2 - Tsu
由此可以计算出建立时间的余量:slack_of_setup = data_arrival_require_time - data_arrival_time = (Tcycle + Tclk2 - Tsu) - (Tclk1 + Tdata + Tco)

保持时间分析

保持时间的分析与建立时间相似,与建立时间不同的是,建立时间需要注意的是数据到达寄存器2的时间,而保持时间注意的是数据结束时间与采样沿的关系。简单来讲就是数据结束的时间不能在采样沿到后的某一段时间之前。这一段时间就是保持时间。

数据的结束时间在前面建立时间的分析上再加上一个时钟周期即使实际结束的时间,也即数据实际结束的时间是数据实际到达的时间加上一个时钟周期:data_finish_time = data_arrival_time + Tcycle
因为要满足保持时间的约定,所以要求的结束时间应该为,寄存器2采样沿到达后再经过一个保持时间,也即:数据要求结束的时间为寄存器2的采样沿后还需加上一个保持时间:data_require_finish_time = Tclk2 + Tcycle + Th
因此保持时间余量可以求得为:slack_of_hold =data_finish_time - data_require_finish_time = (Tcycle + Tclk1 + Tdata + Tco) - (Tcycle + Tclk2 + Th)

时序分析基础(1)----寄存器时序分析模型相关推荐

  1. (76)时序分析基础(基本资源)

    (76)时序分析基础(基本资源) 1 文章目录 1)文章目录 2)时序约束引言 3)FPGA时序约束课程介绍 4)时序分析基础(基本资源) 5)技术交流 6)参考资料 2 时序约束引言 1)什么是静态 ...

  2. 基于Xlinx的时序分析与约束(1)----什么是时序分析?什么是时序约束?什么又是时序收敛?

    文章目录 写在前面 磨刀不误砍柴工 什么是时序分析? 什么是时序约束? 什么是时序收敛? 写在前面 时序约束与分析是FGPA开发过程中一项必备的技能,同时也是设计开发中相对较难的部分.很多人一谈起FP ...

  3. 转载:基于Xlinx的时序分析、约束和收敛(1)— 什么是时序分析?什么是时序约束?什么又是时序收敛?

    本文转载自CSDN博主「孤独的单刀」的原创文章,原文链接:https://blog.csdn.net/wuzhikaidetb/article/details/125731074 写在前面 时序约束与 ...

  4. 时序分析基础(2)——input_delay

    input_delay时序分析模型   上游器件提供时钟和数据,经过PCB走线,进入FPGA内部的寄存器.同寄存器级别的时序分析一样,对于IO接口的时序分析也是从建立时间和保持时间来分析的.   上图 ...

  5. 同步电路设计的一些问题(时序分析基础,同步电路设计规则)

    本博文内容来自于<大规模逻辑设计指导书>,感觉写的挺好的,就拿过来备忘. 最后还有一部分, 异步设计中常见问题及其解决方法我还没有看懂,暂时不贴过来了. 有关时序分析的一些基础理论,我也贴 ...

  6. 静态时序分析——基础概念

    一.简述 静态时序分析是检查系统时序是否满足要求的主要手段.以往时序的验证依赖于仿真,采用仿真的方法,覆盖率跟所施加的激励有关,有些时序违例会被忽略.此外,仿真方法效率非常的低,会大大延长产品的开发周 ...

  7. (94)FPGA 两个触发器时序分析模型中,涉及到哪些参数?,面试必问(十八)(第19天)

    (94)FPGA 两个触发器时序分析模型中,涉及到哪些参数?(第19天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA 两个触发器时序分析模型中,涉及到 ...

  8. (09)FPGA时序分析模型

    (09)FPGA时序分析模型 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)FPGA时序分析模型 5)结语 1.2 FPGA简介 FPGA(Field Programm ...

  9. 免费课程推荐|数字集成电路静态时序分析基础

    讲师介绍: 邸志雄 博士,硕士研究生导师,西南交通大学信息学院电子工程系副系主任.CCF会员.中国图象图形学学会军民融合专委会成员.新工科联盟"可定制计算"专委会成员.研究方向为高 ...

最新文章

  1. Java h265视频抽帧提取照片支持Window,Linux
  2. universal image loader在listview/gridview中滚动时重复加载图片的问题及解决方法
  3. R语言观察日志(part10)--file函数
  4. 自动化C语言第一次月考试卷,计算机专业第一次月考.doc
  5. 【java】如何在IDEA 中查看 Class文件的汇编
  6. 基于JAVA+SpringBoot+Mybatis+MYSQL的高铁售票系统
  7. MongoDB复制集搭建主服务器模拟切换
  8. 深刻理解Tensor的概念及其常见的操作_以Pytorch框架为例
  9. 1011. A+B和C (15)
  10. 孙鑫VC学习笔记:第十一讲 (六) 图形重绘方法二 利用元文件
  11. 10.1寸大屏安卓通用车载导航
  12. Windows10(64位)下安装MySql5.7
  13. 单细胞文章解读——用单细胞RNA测序技术分析与肿瘤转移相关的细胞间通讯
  14. 阿里P5、P6是什么级别?加入超级实习生能拿到吗?
  15. IntelRealsense升级摄像头的固件版本方法
  16. Flutter Container设置 width 无效
  17. 多个PDF文件合并方法
  18. 程序员防内卷小游戏3之游戏打包
  19. Python提示:Consider using the `--user` option or check the permissions.
  20. css3 cheatsheet,Complete CSS Cheat Sheet

热门文章

  1. 使用 IDEA 远程 Debug 调试
  2. 百度地图开放平台轻量路径规划
  3. SLAM学习笔记《Past, Present, and Future of Simultaneous Localization and Mapping: Toward the Robust-Per》
  4. 如何选股,短线选股策略
  5. SpringBoot参数校验--List类型
  6. Mapper未生成impl
  7. 什么是内存对齐,为什么要内存对齐?
  8. Python Module — OpenAI ChatGPT API
  9. 结构数组使用(bushi)
  10. 大数据基础之常用Linux命令