本文对时钟脉冲进行计数,如果有需要按键计数器的,请移步《Verilog语言实现两个按键的简单加减计数器》

FPGA默认提供50Mhz的始终脉冲,我们需要对时钟脉冲进行分频以得到所需的频率,以下为分频代码:(为了仿真此处将分频计数器计数值调小)

module fenpin(input              clk,        input              rst_n,output reg         clk_1s
);reg     [24:0]         cnt;        // 分频计数器
always @ (posedge clk or negedge rst_n) beginif (!rst_n) begincnt     <= 25'b0;clk_1s <= 1'b0;endelse if(cnt < 25'd2501) begin    //n倍的偶数分频,只需要计数器在N/2-1  的时候将时钟反转即可cnt     <= cnt + 1'b1;clk_1s <= clk_1s;endelse begincnt     <= 25'b0;clk_1s <= ~clk_1s;end
end
endmodule

以下为计数器代码:

module counter_counting(input clk,input rst,output reg [13:0] counter_counting);always @(negedge rst or posedge clk)beginif(~rst)counter_counting <= 0;else counter_counting = counter_counting + 1;      endendmodule

顶层设计如下:

module counter(
input clk,
input rst,
output [13:0] counter_counting
);wire clk_1s;fenpin u_fenpin(
.clk(clk),
.rst_n(rst),
.clk_1s(clk_1s)
);counter_counting u_count(
.clk(clk_1s),
.rst(rst),
.counter_counting(counter_counting)
);
endmodule 

仿真程序设计如下:

`timescale 1 ps/ 1 ps
module counter_vlg_tst();
reg clk;
reg rst;
// wires
wire [13:0]  counter_counting;// assign statements (if any)
counter i1 (
// port map - connection between master ports and signals/registers   .clk(clk),.counter_counting(counter_counting),.rst(rst)
);
initial
begin
clk = 1'b0;
rst = 1'b1;
#100 rst = 1'b0;
#100 rst = 1'b1;
$display("Running testbench");
end
always  #10 clk = ~clk;
endmodule

仿真结果如下:

FPGA课设实验二:计数器设计与仿真相关推荐

  1. imut FPGA课设 基于FPGA的VGA弹球游戏设计 *秋昊

    写在前面的话: 本文主要呈现了一篇IMUT的FPGA课设报告. 课设报告内容(word版),视频演示,程序源码,专业创新实践简介,专业创新实践指导书均已放入下面的百度云链接中,也不大,总共不到20MB ...

  2. 基于低功耗蓝牙和微信小程序的门禁系统(FPGA课设设计)

    基于低功耗蓝牙和微信小程序的门禁系统(FPGA课设设计) 文章目录 基于低功耗蓝牙和微信小程序的门禁系统(FPGA课设设计) 一.低功耗蓝牙(BLE)的配置和与FPGA通信代码 1.1 低功耗蓝牙的介 ...

  3. 【全套资料.zip下载】数电课设-多功能电子钟数字钟Multisim仿真设计【Multisim仿真+报告+讲解视频.zip下载】

    文章目录 数电课设-多功能电子钟数字钟Multisim仿真设计[Multisim仿真+报告+演示视频.zip] 一.Multisim仿真源文件 二.仿真视频原理讲解 三.原理文档报告 资料下载[Mul ...

  4. Python课设实验 之 公交查询系统.(文本导入 字典树 储存.)

    要求: 目录 要求: 第1题  编写一个公交查询系统 随着公交系统的庞大,人们很难得到准确的公交信息,这样给人们的出行就带来了不便.因此,急需一个方便.快捷的公交信息查询方式,本系统提供换乘询功能.路 ...

  5. 2021山东大学软件学院JAVA高程课设-考试平台的设计与开发(一)

    系列目录 2021山东大学软件学院JAVA高程课设-考试平台的设计与开发(一) 2021山东大学软件学院JAVA高程课设-考试平台的设计与开发(二) 2021山东大学软件学院JAVA高程课设-考试平台 ...

  6. Python课设实验 之 车票订购系统.(sqlite数据库 储存.)

    要求: 第2题 编写车票订购系统 (1)上网查询郑州到北京.西安.石家庄.济南.太原.武汉的距离以及票价,用数据库保存车次信息. (2)要求输入目的地,能够查询到里程和票价信息. (3)用数据库存储每 ...

  7. Python课设实验 之 爬虫应用——校园网搜索引擎(使用bs4进行数据分析.)

    题目要求: 第3题  爬虫应用--校园网搜索引擎 本题旨在使用Python建立一个适合校园网使用的Web搜索引擎系统,它能在较短时间内爬取页面信息,具有有效准确的中文分词功能,实现对校园网上新闻信息的 ...

  8. 前端课设-二手商城-网页设计

    前端课设-二手商城-网页设计 有导航栏,各种商品标签,轮播图等等,详细情况请看下图: 点我下载资源 点我下载资源

  9. FPGA _Verilog HDL_8位加法计数器设计实验

    一.题目 8位加法计数器设计. 二.源代码 说明:本实验实现了八位加法计数器,可以用于定时1us;其中CLK,EN,RST, LOAD,DATA作为输入信号:CLK作为时钟信号,频率为50MHz:EN ...

  10. 《数据结构课设》弗洛伊德算法设计校园导游系统

    大二上学期的数据结构课设分享一下,具体题目如下: 题目27:"校园导游系统"的设计与实现 1.问题描述 设计一个校园导游系统,为来访的客人提供信息查询服务. 2.基本要求 (1)从 ...

最新文章

  1. linux检查是否有D进程,Linux内核调试技术——进程D状态死锁检测
  2. 明年东京奥运会,将首次引进人脸识别系统
  3. Mac下查看端口占用
  4. mysql 锁-比较详细、深入的介绍
  5. python自动化测试脚本可以测php吗_请对比分析一下php的自动化测试与python的自动化测试...
  6. 2017 最值得关注的十大 APP、Web 界面设计趋势
  7. JSONSerializer把类转换成JSON字符串
  8. 《Java游戏编程原理与实践教程》读书笔记(第4章——Java游戏程序的基本框架)
  9. 技术分享 — Java如何实现证件照换底色
  10. window10无法自动修复此计算机,Win10系统自动修复无法修复电脑如何解决
  11. 计算机房摆放布局,数据中心机房位置及设备布置的几点要求
  12. 【Devc++】战斗1.0.1
  13. jmeter--上传图片类接口
  14. 递归,根据部门id获取部门树下的某个部门名称
  15. 前后端实现Base64格式的传参及转换
  16. {  周赛  }又被虐爆了
  17. windows开启远程桌面,防火墙拦截:只允许特定IP远程
  18. 爬了世纪佳缘后发现了一个秘密,世纪佳缘找对象靠谱吗?
  19. TensorRT cublasStatus == CUBLAS_STATUS_SUCCESS
  20. 【题解】文体(划掉)陌上花开

热门文章

  1. 扫描QR码即可完成移动支付的LevelUp推出集合NFC、QR码等技术的移动支付终端,供商家免费使用 | 36氪
  2. ios 字符加密问题 字符串加密gyb字符返回空
  3. 我在字节跳动「修电影」
  4. 历年软考网络规划师考点总结
  5. 【javafx】如何java查询12306火车票剩余数量
  6. CSS3浏览器前缀,背景大小,位置,渐变以及过渡效果
  7. win10无限蓝屏_WIN10无限重启怎么解决,现在开不了机
  8. Android 修改保持WLAN热点开启的时间与最大连接数
  9. LLVM创始人Chris Lattner回顾展望编译器
  10. 自定义权限修改弹框_微信小程序自定义授权弹框