vivado开发中的Tcl命令与脚本

  • 引言
  • 使用XPM源语仿真时报错
  • bit及ltx文件从impl文件夹中拷贝至工程路径
  • ModelSim 保存仿真波形

引言

记录整理本人在vivado开发中,学习与使用到的tcl命令和tcl脚本,不定期更新中。

使用XPM源语仿真时报错

参考博客链接
console窗口中敲如下命令:

 set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY} [current_project]

bit及ltx文件从impl文件夹中拷贝至工程路径

vivado默认generate bitstream的路径为impl文件夹中,需要点很多次路径去找,可使用下脚本代替人工操做,将文件复制到外层工程路径中。

cd [get_property DIRECTORY [current_project] ]set bit_path  [glob ../*.prj/*.runs/impl_1/*.bit]
set ltx_path  [glob ../*.prj/*.runs/impl_1/*.ltx]
set copy_path [glob ../*.dbg]file copy -force  $bit_path  $copy_path/top.bit
file copy -force  $ltx_path  $copy_path/debug_nets.ltx

将以上代码保存为tcl文件,保存在 * .tcl路径下 ( * 代表工程路径)。工程比特流生成完必后,run tcl script,可在*.dbg路径下找到拷贝出来的2个文件。

ModelSim 保存仿真波形

使用modelsim联合仿真时,重复拉波形的操做可以避免。(待补充完整)

vivado开发中的Tcl命令与脚本相关推荐

  1. Linux 开发中的常用命令 ( Cygwin 环境也同样适用)

    ls #以默认方式显示当前目录文件列表 ls –a #显示所有文件包括隐藏文件 ls –l #显示文件属性,包括大小,日期,符号连接,是否可读写及是否可执行 ls –color=never *.so ...

  2. android版cmd命令,android开发中的cmd命令

    先配置sdk的环境变量. 1 sqlite3命令 sqlite3 dbname 没有分号,创建一个数据库. .databases 查看所有的数据库文件信息 .schema 进入数据库后,用此命令查看所 ...

  3. Django开发中常用的命令总结

    1. 创建一个Django Project#使用下面的命令可以创建一个projectdjango-admin.py startproject mysite #创建好之后可以看到如下的pro... 1. ...

  4. Android 开发中 adb remount命令的作用是什么?

    adb remount将 /system部分置于可写入的模式,默认情况下 /system 部分是只读模式的.这个命令只适用于已被 root 的设备. 在将文件 push 到 /system 文件夹之前 ...

  5. Vivado中的TCL脚本语言

    本文介绍了Tcl在Vivado中的基础应用,希望起到抛砖引玉的作用,指引使用者在短时间内快速掌握相关技巧,更好地发挥Vivado在FPGA设计中的优势. Vivado TCL脚本语言 使用Tcl作为它 ...

  6. uboot环境下mmc操作_android uboot中的mmc命令

    一:mmc的命令如下: 1:对mmc读操作 mmc read addr blk# cnt 2:对mmc写操作 mmc write addr blk# cnt 3:对mmc擦除操作 mmc erase ...

  7. Vivado中用于时钟操作的几个Tcl命令

    前言 理论上,使用Tcl可以在Vivado上完成一切操作,但是没必要,因为命令太多,很难记忆,我们只需要知道几个常用的即可,方便我们使用Vivado. 对于时序约束,我们常用的tcl命令,最多的是时钟 ...

  8. 【 FPGA 】Vivado中常用的5个Tcl命令

    本博文内容是学习课程做的笔记,只记录了一些,由于没有基础,且讲的也不是太清楚,只吸收了一部分内容,记录下来备忘. 目录: Objects的基本概念? 首先讲解Vivado中objects的基本概念,然 ...

  9. 【 Vivado 】在工程模式下通过jou文件来学习 Tcl 命令

    Xilinx 的数据手册UG895提供了一些系统级设计的方法,写得很详细,详细到得不到重要的消息(我菜). Tcl命令在工程模式下以及非工程模式下有一些差异,具体什么差异,这里暂时不说,后面我想应该会 ...

最新文章

  1. Ubuntu 常用操作
  2. uniapp富文本复制文字内容
  3. Three.js入门和搭建HelloWorld
  4. Lucene索引库的维护功能实现
  5. 次世代3D游戏角色是如何打造出来的?
  6. centos移动文件到指定目录_Dynamo批量分离中心文件并另存到指定目录
  7. python上传文件接口_python程序的web接口:上传和下载文件
  8. PyQt5教程(三)——布局管理
  9. php漏洞黑掉数据库,WDCPnbsp;add_user.php任意数据库添加任意用户漏洞分析
  10. 如何使用PowerShell创建简单SQL Server数据库登录对话框
  11. 智能一代云平台(五):移动开发之环境搭建
  12. js 操作frameset frame 对象
  13. 如何在SVN创建分支版本
  14. uni-app ios 添加测试新设备,只需下载描述文件
  15. 用AI给图片上色 在线将黑白照片处理成彩色照片工具(干货)
  16. word 如何删除边框黑线
  17. 我的第一份CUDA代码
  18. 关于链表的逆序排列!
  19. CIKM2020 | 最新9篇推荐系统相关论文
  20. 计算机没网络本地连接接下来,电脑本地连接没有了网络连接的本地连接不见的解决方法...

热门文章

  1. WindowsServer2012修改用户名后远程桌面连接失败
  2. 细说区块链共识机制之pos
  3. html5地图连线原理,Web(瓦片)地图的工作原理
  4. php gd 圆角,php使用gd库在图片中画圆角矩形
  5. 【SQL刷题】DAY16----SQL高级联结专项练习
  6. mysql 藏文字典_mysql无法压缩存储表情
  7. 亏了16万,心态崩了怎么办?
  8. 关于DNS、HTTP劫持的一些事
  9. 一篇文章彻底搞懂浅拷贝和深拷贝
  10. castle典范英语 storm_典范英语4阶 17 castle adventure(点读)