【安全硬件】Chap.6 IC和半导体产业的全球化;芯片生产猜疑链与SoC设计流程;可能会存在的安全威胁: 硬件木马、IP盗版、逆向工程、侧信道攻击、伪造

  • 背景
  • 1. IC和半导体产业的全球化
  • 2. 芯片生产猜疑链——Untrusted IC Supply Chain Threats
    • 可能会存在的安全威胁
  • 3. SoC Design Flow
  • 主要参考来源

背景

  在现代 超大规模集成电路设计(VLSI) 行业中,设计和制造是分开进行的,设计者通常对负责生产的代工厂几乎没有控制权,自然而然地会认为代工厂根本不可信。集成电路 (IC) 生产供应链的跨国、分布式和多步骤性质引入了基于芯片硬件的漏洞。

1. IC和半导体产业的全球化

  IC和半导体产业的全球化。由于芯片设计公司的竞争对手会千方百计地提高产品上市时间产品功能和性能。许多芯片公司的解决方案是增加团队规模——将更多资源投入到项目中,增加工程师来掩盖设计复杂性和上市时间压力的问题。
  一旦做出该决定,公司为了节约开发成本会选择增加更低成本的工程师,从公司的管理层做出的决定可以看出需要在非发达国家寻求拥有良好技术基础的专业工程师比如中国和印度。并不是说这些国家的工程师比北美或欧洲的工程师更有效率,而是因为他们的价格要低得多——便宜四到八倍。

图1. IC设计的复杂性越来越高,逐渐成为全球性合作

  所以,由于IC设计的复杂性超过了团队的设计生产力时,IC产业会逐渐成为全球性的企业跨境合作。
  Among the forces reshaping the semiconductor industry, few are more important than R&D productivity’s inability to keep pace with the challenges of product development. However, there are steps companies can take to close the gap: Cooperation between companies in various regions, IC production has became a global enterprise.

IC 开发生产力下降意味着工程岗位流失
What happens when chip-design Complexity outpaces design productivity

2. 芯片生产猜疑链——Untrusted IC Supply Chain Threats

  IC设计和半导体产业全球供应链概述:设计 IC 涉及从第三方设计公司采购知识产权 (IP) 设计,在内部设计一些组件,将两者结合起来,然后生成 IC 布局。然后将设计蓝图(例如,根据 GDS-II 布局格式)发送到代工厂,代工厂开发昂贵的掩模并制造 IC。然后,IC 会在制造现场进行测试,通常还会在第三方测试机构进行测试。最后,无故障的 IC 被封装和销售。

图2. 芯片生产猜疑链——Untrusted IC Supply Chain Threats

  • 如今,设计 IC 的第一阶段涉及将知识产权 (IP) 设计外包给第三方设计公司(例如英国的 ARM 或 Imagination Technologies)。由于第三方的IP通常有很多保护的方式使得使用的设计师和购买的消费者无法验证IP的内容,因此理解为Semi-trusted。

  • 第二阶段是设计额外的组件和系统集成,这通常在内部完成,在此步骤结束时会生成 IC 布局。由于是自己人设计完成的,因此理解为Trusted。

  • 在第三阶段,设计蓝图(例如,GDS-II 布局格式)被发送到开发昂贵掩模并制造 IC 的代工厂,然后在制造现场进行测试,通常还会在第三方测试中进行测试设施。值得注意的是,目前大多数制造设施都位于东亚的中国大陆和台湾等地。设计者通常对负责生产的代工厂几乎没有控制权,自然而然地会认为代工厂根本不可信,因此理解为Untrusted。

  • 测试阶段是自己人测试,因此理解为Trusted。

  • 最后阶段是 IC 封装,也可以在另一个地理位置完成,很大可能是由另一个企业完成,因此理解为Untrusted。

可能会存在的安全威胁

  IC 供应链的这种分布式特性在很大程度上依赖于来自世界各地的重复使用专业知识,引入了许多硬件安全威胁,最突出的是木马程序插入的风险。基于这一假设,设计者研究了代工厂布局层可能发生的各种攻击,例如硬件木马插入、IP 和 IC 盗版和假冒,并已经做出了许多努力来降低这些风险。如上图所示,其中芯片生产猜疑链各部分中可能会存在的安全威胁有:

  • 硬件木马。Hardware Trojans: An attacker either in the design house or in the foundry may add malicious circuits or modify existing circuits.

  • IP 盗版和 IC 过量生产。IP piracy and IC overbuilding: An IP user or a rogue foundry may illegally pirate the IP without the knowledge and consent of the designer. A malicious foundry may build more than the required number of ICs and sell the excess ICs in the gray market.

  • 逆向工程 。Reverse engineering (RE): An attacker can reverse engineer the IC/IP design to his/her desired abstraction level. He can then reuse the recovered IP or improve it.

  • 侧信道估计。Side-channel analysis: An attacker can extract the secret information by exploiting a physical modality (power consumption, timing, or electromangnetic emission) of the hardware that executes the target application.

  • 伪造。Counterfeiting: An attacker illegally forges or imitates the original component/design.

A Primer on Hardware Security: Models, Methods, and Metrics

3. SoC Design Flow

#mermaid-svg-0oJXlX3wYnMxqXHw {font-family:"trebuchet ms",verdana,arial,sans-serif;font-size:16px;fill:#333;}#mermaid-svg-0oJXlX3wYnMxqXHw .error-icon{fill:#552222;}#mermaid-svg-0oJXlX3wYnMxqXHw .error-text{fill:#552222;stroke:#552222;}#mermaid-svg-0oJXlX3wYnMxqXHw .edge-thickness-normal{stroke-width:2px;}#mermaid-svg-0oJXlX3wYnMxqXHw .edge-thickness-thick{stroke-width:3.5px;}#mermaid-svg-0oJXlX3wYnMxqXHw .edge-pattern-solid{stroke-dasharray:0;}#mermaid-svg-0oJXlX3wYnMxqXHw .edge-pattern-dashed{stroke-dasharray:3;}#mermaid-svg-0oJXlX3wYnMxqXHw .edge-pattern-dotted{stroke-dasharray:2;}#mermaid-svg-0oJXlX3wYnMxqXHw .marker{fill:#333333;stroke:#333333;}#mermaid-svg-0oJXlX3wYnMxqXHw .marker.cross{stroke:#333333;}#mermaid-svg-0oJXlX3wYnMxqXHw svg{font-family:"trebuchet ms",verdana,arial,sans-serif;font-size:16px;}#mermaid-svg-0oJXlX3wYnMxqXHw .label{font-family:"trebuchet ms",verdana,arial,sans-serif;color:#333;}#mermaid-svg-0oJXlX3wYnMxqXHw .cluster-label text{fill:#333;}#mermaid-svg-0oJXlX3wYnMxqXHw .cluster-label span{color:#333;}#mermaid-svg-0oJXlX3wYnMxqXHw .label text,#mermaid-svg-0oJXlX3wYnMxqXHw span{fill:#333;color:#333;}#mermaid-svg-0oJXlX3wYnMxqXHw .node rect,#mermaid-svg-0oJXlX3wYnMxqXHw .node circle,#mermaid-svg-0oJXlX3wYnMxqXHw .node ellipse,#mermaid-svg-0oJXlX3wYnMxqXHw .node polygon,#mermaid-svg-0oJXlX3wYnMxqXHw .node path{fill:#ECECFF;stroke:#9370DB;stroke-width:1px;}#mermaid-svg-0oJXlX3wYnMxqXHw .node .label{text-align:center;}#mermaid-svg-0oJXlX3wYnMxqXHw .node.clickable{cursor:pointer;}#mermaid-svg-0oJXlX3wYnMxqXHw .arrowheadPath{fill:#333333;}#mermaid-svg-0oJXlX3wYnMxqXHw .edgePath .path{stroke:#333333;stroke-width:2.0px;}#mermaid-svg-0oJXlX3wYnMxqXHw .flowchart-link{stroke:#333333;fill:none;}#mermaid-svg-0oJXlX3wYnMxqXHw .edgeLabel{background-color:#e8e8e8;text-align:center;}#mermaid-svg-0oJXlX3wYnMxqXHw .edgeLabel rect{opacity:0.5;background-color:#e8e8e8;fill:#e8e8e8;}#mermaid-svg-0oJXlX3wYnMxqXHw .cluster rect{fill:#ffffde;stroke:#aaaa33;stroke-width:1px;}#mermaid-svg-0oJXlX3wYnMxqXHw .cluster text{fill:#333;}#mermaid-svg-0oJXlX3wYnMxqXHw .cluster span{color:#333;}#mermaid-svg-0oJXlX3wYnMxqXHw div.mermaidTooltip{position:absolute;text-align:center;max-width:200px;padding:2px;font-family:"trebuchet ms",verdana,arial,sans-serif;font-size:12px;background:hsl(80, 100%, 96.2745098039%);border:1px solid #aaaa33;border-radius:2px;pointer-events:none;z-index:100;}#mermaid-svg-0oJXlX3wYnMxqXHw :root{--mermaid-font-family:"trebuchet ms",verdana,arial,sans-serif;}

SoC 设计细节
软硬件分工
原型验证如FPGA或ASIC
软硬件联合验证
代工厂批量生产和发货
PCB制造和设备组装
  1. SoC 设计细节——SoC Design specifics:
      需要将功能需求转化为如system C语言的模型,完成基本的功能测试,并以此为标准,通过其他算法探索其他方案。
    SoC Design specifics:
  • user’s requirements
  • functionality
  • modes of operation
  • work plan:设计过程、任务、时间表和里程碑
  • performance
  • power
  • size
  1. 软硬件分工——Architecture Design; HW/SW partitioning
      决定硬件软件分别实现那些功能,定义软硬件之间的接口(interface)和协议(protocols),购买软硬件IP实现系统功能。
  2. 原型验证——Prototype on platform,如FPGA或ASIC平台
      以基于FPGA平台的原型验证为例,具体实施一般分为三个阶段:
  • 功能设计阶段:硬件的行为模型与软件的工作原型一起创建。
  • 电路设计阶段:功能块的行为描述被转移到电路中。对于数字块,此过程是自动化的,并且基于合成算法。对于模拟块,这必须手动完成;正在进行模拟设计过程自动化的研究。
  • 物理设计阶段:主要设计任务包括:平面规划,焊盘环设计,放置,时钟树设计,功率和IR压降分析(动态和静态),布线和设计规则检查。
  1. 软硬件联合验证——HW/SW co-verification:

  2. 代工厂批量生产和发货——Volume manufacture and ship

  3. PCB制造和设备组装—— PCB manufacture and device assembly

IC生产供应链已成为跨国分布式业务,涉及世界各地的公司。

图3. 跨国间的SoC设计合作流程(简)

主要参考来源

Soton: Dr Basel Halak

【安全硬件】Chap.6 IC和半导体产业的全球化;芯片生产猜疑链与SoC设计流程;可能会存在的安全威胁: 硬件木马、IP盗版、逆向工程、侧信道攻击、伪造相关推荐

  1. 基于Montgomery算法的高速、可配置 RSA密码IP核硬件设计系列(五)——模幂模块(抵抗侧信道攻击)模块的设计实现方案

    基于Montgomery算法的高速.可配置RSA密码IP核硬件设计系列(五) 2.2 模幂模块设计(抵抗测信道攻击模块) 2.2.1 模幂模块及内部模块的功能 2.2.3 模幂各模块的实现方案 2.2 ...

  2. 【安全硬件】Chap.2 如何破译一个CMOS门级电路;传播延迟、动态功耗、静态功耗可能泄露电路的构造以及输入密钥;非侵入式攻击 Non-Invasive Attacks

    [安全硬件]Chap.2 如何破译一个CMOS门级电路:传播延迟.动态功耗.静态功耗可能泄露电路的构造以及输入密钥:非侵入式攻击 Non-Invasive Attacks 前言 CMOS电路结构可能存 ...

  3. IC China CEF两展联动,半导体产业大国崛起酝酿电子产业升级大幕

    半导体飙进,拉开电子产业升级大幕.物联网.智能网联汽车.智能制造等新兴产业的实现也要靠半导体的支撑. 2017年6月15日下午,第十五届中国国际半导体博览会暨第90届中国电子展组委会在上海长荣桂冠酒店 ...

  4. 2022 极术通讯-安谋科技纷争尘埃落定,本土半导体产业基石更稳

    导读:极术通讯引入行业媒体和技术社区.咨询机构优质内容,定期分享产业技术趋势与市场应用热点 芯方向 • 基于TencentOS Tiny AIoT开发板.腾讯连连小程序的智能轮椅远程感知与控制 本文是 ...

  5. 半导体产业格局:行稳而致远,强者将恒强

    来源:华泰证券 ▌中国半导体产业链渐趋完善,产业生态体系逐步成形 目前我国垂直分工模式的芯片产业链初步搭建成形,产业上中下游已然打通,涌现出一批实力较强的代表性本土企业.集成电路是基础性.先导性产业, ...

  6. 中国功率半导体产业销售规模与投资策略研究报告2022版

    中国功率半导体产业销售规模与投资策略研究报告2022版 HS--HS--HS--HS--HS--HS--HS--HS--HS--HS--HS--HS-- [修订日期]:2021年11月 [搜索鸿晟信合 ...

  7. 坚持自主创新,凌波微步完成数千万A轮融资,加速半导体产业

    随着5G.互联网.大数据.人工智能以及汽车电子等新技术.新产品的广泛应用,半导体产业已成为国民经济的基础性支撑产业.它是支撑经济社会发展,保障国家安全的战略性.基础性和先导性产业,其发展程度是衡量一个 ...

  8. 年终盘点:2010年半导体产业的十大进展-转自老杳

    虽然至今大陆依然没有IC设计公司位列全球20强,虽然中芯国际与台积电.联电. GF相比依然弱小,虽然大陆最大的封测长长电科技只位列全球第十,十年后再回顾2010年,肯定会为2010年中国半导体所取得的 ...

  9. 2021-07-16芯片-全球半导体产业核心地区的补贴及激励措施

    芯片供应链风险全解读!美国白宫发250页重磅报告 2021-07-05   该报告汇总分析了全球半导体产业核心地区的补贴及激励措施. 福利: 2021年7月美国白宫发布的250页芯片关键产品供应链百日 ...

最新文章

  1. 话里话外:新顾问答疑解惑对话大公开
  2. C++基础之指向成员的指针
  3. 每年考研计算机专硕和学硕报比例,各院校研招计划发布 专硕与学硕比例调整...
  4. 头条限流是什么原因_抖音号被限流是因为什么原因?
  5. 写给初中级前端工程师的进阶指南
  6. 山东大学 2020级数据库系统 实验八、九
  7. android开发板功能,Android中NFC模块PN532开发板应用/原理图/PCB等全部资料
  8. 用JS实现移动的窗口
  9. Android 的主题的演变
  10. 路由器-配置(思科)
  11. 下载哨兵1精轨数据教程
  12. Android与51822蓝牙模块通信流程的实现与分析
  13. 本科计算机考研统计学,统计学考研考什么科目
  14. excel查找窗口被拉边上_excel2010两个窗口一拉一靠左右排列 - 卡饭网
  15. 如何写SCI论文的摘要
  16. Elasticsearch-Kibana 8.3.2 集群安装搭建-Linux
  17. ABBYY15Mac最新版OCR文字识别软件
  18. VUE实现页面局部刷新
  19. 两个PDF比较标出差异_5款“业界良心”级的PDF处理工具,哪些真“免费”,哪款最好用?...
  20. 如何做一个简单的APP

热门文章

  1. 【案例】某市社会治理网格化智慧工作平台建设案例
  2. Chart.js使用及ajax获取数据
  3. 爬虫2021广东省普通专升本各院校专业招生计划汇总表
  4. SAP vf11发票冲销
  5. 5G中传和回传的承载解决方案
  6. java linest_Java运行环境搭建的图文教程
  7. 【231】罗技优联接收器配对使用方法
  8. 泰坦尼克号的逻辑回归模型
  9. 【智能制造】一份不错的工厂自动化解决方案PPT!
  10. linux中lockf的例子,小何讲Linux: 文件锁及其实例