STM32F205通过SDIO和SPI读写SD卡文件

移植文件系统


从官网下载R0.12版本,src文件夹里的文件都加入工程中。其中主要只修改diskio.c

/*-----------------------------------------------------------------------*/
/* Get Drive Status                                                      */
/*-----------------------------------------------------------------------*/
//获取驱动器状态,都设置返回0即可
DSTATUS disk_status (BYTE pdrv      /* Physical drive nmuber to identify the drive */
)
{DSTATUS stat;//int result;switch (pdrv) {case SD_CARD :stat = 0;return stat;case USB_DEVICE :stat = 0;return stat;case SD_CARD_SPI:stat = 0;return stat;}return 0;
}/*-----------------------------------------------------------------------*/
/* Inidialize a Drive                                                    */
/*-----------------------------------------------------------------------*/
//初始化驱动,因在主程序中已经初始化了,所以这里为空
DSTATUS disk_initialize (BYTE pdrv              /* Physical drive nmuber to identify the drive */
)
{switch(pdrv){case SD_CARD:break;case SD_CARD_SPI:break;}return 0;
}/*-----------------------------------------------------------------------*/
/* Read Sector(s)                                                        */
/*-----------------------------------------------------------------------*/
//加入SD卡读操作的底层驱动
DRESULT disk_read (BYTE pdrv,       /* Physical drive nmuber to identify the drive */BYTE *buff,        /* Data buffer to store read data */DWORD sector,   /* Start sector in LBA */UINT count     /* Number of sectors to read */
)
{DRESULT res = RES_OK; switch (pdrv) {case SD_CARD :if(count==1){SD_ReadBlock(buff_read2, sector<< 9 ,SECTOR_SIZE);SD_WaitReadOperation();memcpy(buff,buff_read2,SECTOR_SIZE);}else{SD_ReadMultiBlocks(buff_read2,sector<< 9,SECTOR_SIZE,count);SD_WaitReadOperation();memcpy(buff,buff_read2,SECTOR_SIZE * count);} return res;case SD_CARD_SPI :if(count==1){SD_ReadBlock_SPI(buff_read2, sector<< 9 ,SECTOR_SIZE);memcpy(buff,buff_read2,SECTOR_SIZE);}else{SD_ReadMultiBlocks_SPI(buff_read2,sector<< 9,SECTOR_SIZE,count);memcpy(buff,buff_read2,SECTOR_SIZE * count);}return RES_OK;}return RES_OK;
}/*-----------------------------------------------------------------------*/
/* Write Sector(s)                                                       */
/*-----------------------------------------------------------------------*///加入SD卡写操作的底层驱动
DRESULT disk_write (BYTE pdrv,          /* Physical drive nmuber to identify the drive */const BYTE *buff,  /* Data to be written */DWORD sector,       /* Start sector in LBA */UINT count         /* Number of sectors to write */
)
{DRESULT res = RES_OK;
//  SD_Error Status;switch (pdrv) {case SD_CARD :if(count==1){memcpy(buff_read2,buff,SECTOR_SIZE);SD_WriteBlock((uint8_t*)buff_read2, sector << 9 ,SECTOR_SIZE);SD_WaitWriteOperation(); }else{memcpy(buff_read2,buff,SECTOR_SIZE * count);SD_WriteMultiBlocks((uint8_t*)buff_read2, sector << 9 ,SECTOR_SIZE,count); SD_WaitWriteOperation(); }      return res;case SD_CARD_SPI :if(count==1){memcpy(buff_read2,buff,SECTOR_SIZE);SD_WriteBlock_SPI((uint8_t*)buff_read2, sector << 9 ,SECTOR_SIZE);}else{memcpy(buff_read2,buff,SECTOR_SIZE * count);SD_WriteMultiBlocks_SPI((uint8_t*)buff_read2, sector << 9 ,SECTOR_SIZE,count);  }return res;}return RES_OK;
}/*-----------------------------------------------------------------------*/
/* Miscellaneous Functions                                               */
/*-----------------------------------------------------------------------*/DRESULT disk_ioctl (BYTE pdrv,       /* Physical drive nmuber (0..) */BYTE cmd,      /* Control code */void *buff        /* Buffer to send/receive control data */
)
{return RES_OK;
}DWORD get_fattime(void){return 0;
}

编辑底层驱动文件
以stm32f205标准库中的SD驱动文件stm322xg_eval_sdio_sd.c为基础。修改自己的bsp_sdio_sd.c
官方库文件中没有对DMA和SDIO中断的处理,需要自己加上

/********************************************************************************* @file    stm3210e_eval_sdio_sd.c* @author  MCD Application Team* @version V5.1.0* @date    18-January-2013* @brief   This file provides a set of functions needed to manage the SDIO SD *          Card memory mounted on STM3210E-EVAL evaluation board. *          *            *  @verbatim**          ===================================================================*                                   How to use this driver*          ===================================================================*          It implements a high level communication layer for read and write *          from/to this memory. The needed STM32 hardware resources (SDIO and *          GPIO) are defined in stm3210e_eval.h file, and the initialization is *          performed in SD_LowLevel_Init() function declared in stm3210e_eval.c *          file.*          You can easily tailor this driver to any other development board, *          by just adapting the defines for hardware resources and *          SD_LowLevel_Init() function.**          A - SD Card Initialization and configuration*          ============================================    *            - To initialize the SD Card, use the SD_Init() function.  It *              Initializes the SD Card and put it into StandBy State (Ready *              for data transfer). This function provide the following operations:**              1 - Apply the SD Card initialization process at 400KHz and check*                  the SD Card type (Standard Capacity or High Capacity). You *                  can change or adapt this frequency by adjusting the *                  "SDIO_INIT_CLK_DIV" define inside the stm3210e_eval.h file. *                  The SD Card frequency (SDIO_CK) is computed as follows:**                     +---------------------------------------------+*                     | SDIO_CK = SDIOCLK / (SDIO_INIT_CLK_DIV + 2) |*                     +---------------------------------------------+**                  In initialization mode and according to the SD Card standard, *                  make sure that the SDIO_CK frequency don't exceed 400KHz.**              2 - Get the SD CID and CSD data. All these information are*                  managed by the SDCardInfo structure. This structure provide*                  also ready computed SD Card capacity and Block size.   **              3 - Configure the SD Card Data transfer frequency. By Default,*                  the card transfer frequency is set to 24MHz. You can change*                  or adapt this frequency by adjusting the "SDIO_TRANSFER_CLK_DIV" *                  define inside the stm3210e_eval.h file.*                  The SD Card frequency (SDIO_CK) is computed as follows:**                     +---------------------------------------------+*                     | SDIO_CK = SDIOCLK / (SDIO_INIT_CLK_DIV + 2) |*                     +---------------------------------------------+**                  In transfer mode and according to the SD Card standard, *                  make sure that the SDIO_CK frequency don't exceed 25MHz*                  and 50MHz in High-speed mode switch.*                  To be able to use a frequency higher than 24MHz, you should*                  use the SDIO peripheral in bypass mode. Refer to the *                  corresponding reference manual for more details.**              4 -  Select the corresponding SD Card according to the address*                   read with the step 2.**              5 -  Configure the SD Card in wide bus mode: 4-bits data.**          B - SD Card Read operation*          ========================== *           - You can read SD card by using two function: SD_ReadBlock() and*             SD_ReadMultiBlocks() functions. These functions support only*             512-byte block length.*           - The SD_ReadBlock() function read only one block (512-byte). This*             function can transfer the data using DMA controller or using *             polling mode. To select between DMA or polling mode refer to *             "SD_DMA_MODE" or "SD_POLLING_MODE" inside the stm3210e_eval_sdio_sd.h*             file and uncomment the corresponding line. By default the SD DMA*             mode is selected          *           - The SD_ReadMultiBlocks() function read only mutli blocks (multiple *             of 512-byte). *           - Any read operation should be followed by two functions to check*             if the DMA Controller and SD Card status.*              - SD_ReadWaitOperation(): this function insure that the DMA*                controller has finished all data transfer.*              - SD_GetStatus(): to check that the SD Card has finished the *                data transfer and it is ready for data.**           - The DMA transfer is finished by the SDIO Data End interrupt. *             User has to call the SD_ProcessIRQ() function inside the SDIO_IRQHandler()*             and SD_ProcessDMAIRQ() function inside the DMA2_Channel4_5_IRQHandler().*             Don't forget to enable the SDIO_IRQn and DMA2_Channel4_5_IRQn *             interrupts using the NVIC controller.**          C - SD Card Write operation*          =========================== *           - You can write SD card by using two function: SD_WriteBlock() and*             SD_WriteMultiBlocks() functions. These functions support only*             512-byte block length.   *           - The SD_WriteBlock() function write only one block (512-byte). This*             function can transfer the data using DMA controller or using *             polling mode. To select between DMA or polling mode refer to *             "SD_DMA_MODE" or "SD_POLLING_MODE" inside the stm3210e_eval_sdio_sd.h*             file and uncomment the corresponding line. By default the SD DMA*             mode is selected          *           - The SD_WriteMultiBlocks() function write only mutli blocks (multiple *             of 512-byte). *           - Any write operation should be followed by two functions to check*             if the DMA Controller and SD Card status.*              - SD_ReadWaitOperation(): this function insure that the DMA*                controller has finished all data transfer.*              - SD_GetStatus(): to check that the SD Card has finished the *                data transfer and it is ready for data.**           - The DMA transfer is finished by the SDIO Data End interrupt. *             User has to call the SD_ProcessIRQ() function inside the SDIO_IRQHandler()*             and SD_ProcessDMAIRQ() function inside the DMA2_Channel4_5_IRQHandler().*             Don't forget to enable the SDIO_IRQn and DMA2_Channel4_5_IRQn *             interrupts using the NVIC controller.***          D - SD card status*          ================== *           - At any time, you can check the SD Card status and get the SD card*             state by using the SD_GetStatus() function. This function checks*             first if the SD card is still connected and then get the internal*             SD Card transfer state.     *           - You can also get the SD card SD Status register by using the *             SD_SendSDStatus() function.**          E - Programming Model (Selecting DMA for SDIO data Transfer)*          ============================================================ *             Status = SD_Init(); // Initialization Step as described in section A**             // SDIO Interrupt ENABLE*             NVIC_InitStructure.NVIC_IRQChannel = SDIO_IRQn;*             NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 0;*             NVIC_InitStructure.NVIC_IRQChannelSubPriority = 0;*             NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;*             NVIC_Init(&NVIC_InitStructure);*             // DMA2 Channel4 Interrupt ENABLE*             NVIC_InitStructure.NVIC_IRQChannel = SD_SDIO_DMA_IRQn;*             NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 1;*             NVIC_Init(&NVIC_InitStructure);*               *             // Write operation as described in Section C*             Status = SD_WriteBlock(buffer, address, 512);*             Status = SD_WaitWriteOperation();*             while(SD_GetStatus() != SD_TRANSFER_OK);**             Status = SD_WriteMultiBlocks(buffer, address, 512, NUMBEROFBLOCKS);*             Status = SD_WaitWriteOperation();*             while(SD_GetStatus() != SD_TRANSFER_OK);**             // Read operation as described in Section B*             Status = SD_ReadBlock(buffer, address, 512);*             Status = SD_WaitReadOperation();*             while(SD_GetStatus() != SD_TRANSFER_OK);**             Status = SD_ReadMultiBlocks(buffer, address, 512, NUMBEROFBLOCKS);*             Status = SD_WaitReadOperation();*             while(SD_GetStatus() != SD_TRANSFER_OK);**             - Add the SDIO and DMA2 Channel4 IRQ Handlers:   *                 void SDIO_IRQHandler(void)*                 {*                   SD_ProcessIRQ();  *                 }   *                 void SD_SDIO_DMA_IRQHANDLER(void)*                 {*                   SD_ProcessDMAIRQ();  *                 }     **          F - Programming Model (Selecting Polling for SDIO data Transfer)*          ================================================================*            //Only SD Card Single Block operation are managed.   *            Status = SD_Init(); // Initialization Step as described in section*               *            // Write operation as described in Section C*            Status = SD_WriteBlock(buffer, address, 512);**            // Read operation as described in Section B*            Status = SD_ReadBlock(buffer, address, 512);*                 *          STM32 SDIO Pin assignment*          =========================*          +-----------------------------------------------------------+*          |                     Pin assignment                        |*          +-----------------------------+---------------+-------------+*          |  STM32 SDIO Pins            |     SD        |    Pin      |*          +-----------------------------+---------------+-------------+*          |      SDIO D2                |   D2          |    1        |*          |      SDIO D3                |   D3          |    2        |*          |      SDIO CMD               |   CMD         |    3        |*          |                             |   VCC         |    4 (3.3 V)|*          |      SDIO CLK               |   CLK         |    5        |*          |                             |   GND         |    6 (0 V)  |*          |      SDIO D0                |   D0          |    7        |*          |      SDIO D1                |   D1          |    8        |*          +-----------------------------+---------------+-------------+**  @endverbatim******************************************************************************** @attention** <h2><center>&copy; COPYRIGHT 2013 STMicroelectronics</center></h2>** Licensed under MCD-ST Liberty SW License Agreement V2, (the "License");* You may not use this file except in compliance with the License.* You may obtain a copy of the License at:**        http://www.st.com/software_license_agreement_liberty_v2** Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.* See the License for the specific language governing permissions and* limitations under the License.********************************************************************************//* Includes ------------------------------------------------------------------*/#include "bsp.h"
#include "bsp_sdio_sd.h"
#include "AppLib.h"
/** @addtogroup Utilities* @{*/ /** @addtogroup STM32_EVAL* @{*/ /** @addtogroup STM3210E_EVAL* @{*//** @addtogroup STM3210E_EVAL_SDIO_SD* @brief      This file provides all the SD Card driver firmware functions.* @{*/ /** @defgroup STM3210E_EVAL_SDIO_SD_Private_Types* @{*/
/*** @}*/ /** @defgroup STM3210E_EVAL_SDIO_SD_Private_Defines* @{*/ /** * @brief  SDIO Static flags, TimeOut, FIFO Address  */
//#define NULL 0
#define SDIO_STATIC_FLAGS               ((uint32_t)0x000005FF)
#define SDIO_CMD0TIMEOUT                ((uint32_t)0x00010000)/** * @brief  Mask for errors Card Status R1 (OCR Register) */
#define SD_OCR_ADDR_OUT_OF_RANGE        ((uint32_t)0x80000000)
#define SD_OCR_ADDR_MISALIGNED          ((uint32_t)0x40000000)
#define SD_OCR_BLOCK_LEN_ERR            ((uint32_t)0x20000000)
#define SD_OCR_ERASE_SEQ_ERR            ((uint32_t)0x10000000)
#define SD_OCR_BAD_ERASE_PARAM          ((uint32_t)0x08000000)
#define SD_OCR_WRITE_PROT_VIOLATION     ((uint32_t)0x04000000)
#define SD_OCR_LOCK_UNLOCK_FAILED       ((uint32_t)0x01000000)
#define SD_OCR_COM_CRC_FAILED           ((uint32_t)0x00800000)
#define SD_OCR_ILLEGAL_CMD              ((uint32_t)0x00400000)
#define SD_OCR_CARD_ECC_FAILED          ((uint32_t)0x00200000)
#define SD_OCR_CC_ERROR                 ((uint32_t)0x00100000)
#define SD_OCR_GENERAL_UNKNOWN_ERROR    ((uint32_t)0x00080000)
#define SD_OCR_STREAM_READ_UNDERRUN     ((uint32_t)0x00040000)
#define SD_OCR_STREAM_WRITE_OVERRUN     ((uint32_t)0x00020000)
#define SD_OCR_CID_CSD_OVERWRIETE       ((uint32_t)0x00010000)
#define SD_OCR_WP_ERASE_SKIP            ((uint32_t)0x00008000)
#define SD_OCR_CARD_ECC_DISABLED        ((uint32_t)0x00004000)
#define SD_OCR_ERASE_RESET              ((uint32_t)0x00002000)
#define SD_OCR_AKE_SEQ_ERROR            ((uint32_t)0x00000008)
#define SD_OCR_ERRORBITS                ((uint32_t)0xFDFFE008)/** * @brief  Masks for R6 Response */
#define SD_R6_GENERAL_UNKNOWN_ERROR     ((uint32_t)0x00002000)
#define SD_R6_ILLEGAL_CMD               ((uint32_t)0x00004000)
#define SD_R6_COM_CRC_FAILED            ((uint32_t)0x00008000)#define SD_VOLTAGE_WINDOW_SD            ((uint32_t)0x80100000)
#define SD_HIGH_CAPACITY                ((uint32_t)0x40000000)
#define SD_STD_CAPACITY                 ((uint32_t)0x00000000)
#define SD_CHECK_PATTERN                ((uint32_t)0x000001AA)#define SD_MAX_VOLT_TRIAL               ((uint32_t)0x0000FFFF)
#define SD_ALLZERO                      ((uint32_t)0x00000000)#define SD_WIDE_BUS_SUPPORT             ((uint32_t)0x00040000)
#define SD_SINGLE_BUS_SUPPORT           ((uint32_t)0x00010000)
#define SD_CARD_LOCKED                  ((uint32_t)0x02000000)//#define SD_DATATIMEOUT                  ((uint32_t)0xFFFFFFFF)
#define SD_DATATIMEOUT                  ((uint32_t)0x000FFFFF)
#define SD_0TO7BITS                     ((uint32_t)0x000000FF)
#define SD_8TO15BITS                    ((uint32_t)0x0000FF00)
#define SD_16TO23BITS                   ((uint32_t)0x00FF0000)
#define SD_24TO31BITS                   ((uint32_t)0xFF000000)
#define SD_MAX_DATA_LENGTH              ((uint32_t)0x01FFFFFF)#define SD_HALFFIFO                     ((uint32_t)0x00000008)
#define SD_HALFFIFOBYTES                ((uint32_t)0x00000020)/** * @brief  Command Class Supported */
#define SD_CCCC_LOCK_UNLOCK             ((uint32_t)0x00000080)
#define SD_CCCC_WRITE_PROT              ((uint32_t)0x00000040)
#define SD_CCCC_ERASE                   ((uint32_t)0x00000020)/** * @brief  Following commands are SD Card Specific commands.*         SDIO_APP_CMD should be sent before sending these commands. */
#define SDIO_SEND_IF_COND               ((uint32_t)0x00000008)/*** @}*/ /** @defgroup STM3210E_EVAL_SDIO_SD_Private_Macros* @{*/
/*** @}*/ /** @defgroup STM3210E_EVAL_SDIO_SD_Private_Variables* @{*/static uint32_t CardType =  SDIO_STD_CAPACITY_SD_CARD_V1_1;
static uint32_t CSD_Tab[4], CID_Tab[4], RCA = 0;
static uint8_t SDSTATUS_Tab[16];
__IO uint32_t StopCondition = 0;
__IO SD_Error TransferError = SD_OK;
__IO uint32_t TransferEnd = 0, DMAEndOfTransfer = 0;
SD_CardInfo SDCardInfo;SDIO_InitTypeDef SDIO_InitStructure;
SDIO_CmdInitTypeDef SDIO_CmdInitStructure;
SDIO_DataInitTypeDef SDIO_DataInitStructure;
/*** @}*/ /** @defgroup STM3210E_EVAL_SDIO_SD_Private_Function_Prototypes* @{*/
static SD_Error CmdError(void);
static SD_Error CmdResp1Error(uint8_t cmd);
static SD_Error CmdResp7Error(void);
static SD_Error CmdResp3Error(void);
static SD_Error CmdResp2Error(void);
static SD_Error CmdResp6Error(uint8_t cmd, uint16_t *prca);
static SD_Error SDEnWideBus(FunctionalState NewState);
static SD_Error IsCardProgramming(uint8_t *pstatus);
static SD_Error FindSCR(uint16_t rca, uint32_t *pscr);
uint8_t convert_from_bytes_to_power_of_two(uint16_t NumberOfBytes);
static void SDIO_Interrupts_Config(void);/*** @}*/ /** @defgroup STM3210E_EVAL_SDIO_SD_Private_Functions* @{*/  /*** @brief  DeInitializes the SDIO interface.* @param  None* @retval None*/
void SD_DeInit(void)
{ SD_LowLevel_DeInit();
}/*** @brief  Initializes the SD Card and put it into StandBy State (Ready for data *         transfer).* @param  None* @retval SD_Error: SD Card Error code.*/
SD_Error SD_Init(void)
{__IO SD_Error errorstatus = SD_OK;/* 配置NVIC */SDIO_Interrupts_Config();/* SDIO Peripheral Low Level Init */SD_LowLevel_Init();SDIO_DeInit();errorstatus = SD_PowerON();if (errorstatus != SD_OK){/*!< CMD Response TimeOut (wait for CMDSENT flag) */return(errorstatus);}errorstatus = SD_InitializeCards();if (errorstatus != SD_OK){/*!< CMD Response TimeOut (wait for CMDSENT flag) */return(errorstatus);}/*!< Configure the SDIO peripheral *//*!< SDIO_CK = SDIOCLK / (SDIO_TRANSFER_CLK_DIV + 2) *//*!< on STM32F2xx devices, SDIOCLK is fixed to 48MHz */SDIO_InitStructure.SDIO_ClockDiv = SDIO_TRANSFER_CLK_DIV;SDIO_InitStructure.SDIO_ClockEdge = SDIO_ClockEdge_Rising;SDIO_InitStructure.SDIO_ClockBypass = SDIO_ClockBypass_Disable;SDIO_InitStructure.SDIO_ClockPowerSave = SDIO_ClockPowerSave_Disable;SDIO_InitStructure.SDIO_BusWide = SDIO_BusWide_1b;SDIO_InitStructure.SDIO_HardwareFlowControl = SDIO_HardwareFlowControl_Disable;SDIO_Init(&SDIO_InitStructure);/*----------------- Read CSD/CID MSD registers ------------------*/errorstatus = SD_GetCardInfo(&SDCardInfo);if (errorstatus == SD_OK){/*----------------- Select Card --------------------------------*/errorstatus = SD_SelectDeselect((uint32_t) (SDCardInfo.RCA << 16));}if (errorstatus == SD_OK){errorstatus = SD_EnableWideBusOperation(SDIO_BusWide_4b);}  return(errorstatus);
}/*** @brief  Gets the cuurent sd card data transfer status.* @param  None* @retval SDTransferState: Data Transfer state.*   This value can be: *        - SD_TRANSFER_OK: No data transfer is acting*        - SD_TRANSFER_BUSY: Data transfer is acting*/
SDTransferState SD_GetStatus(void)
{SDCardState cardstate =  SD_CARD_TRANSFER;cardstate = SD_GetState();if (cardstate == SD_CARD_TRANSFER){return(SD_TRANSFER_OK);}else if(cardstate == SD_CARD_ERROR){return (SD_TRANSFER_ERROR);}else{return(SD_TRANSFER_BUSY);}
}/*** @brief  Returns the current card's state.* @param  None* @retval SDCardState: SD Card Error or SD Card Current State.*/
SDCardState SD_GetState(void)
{uint32_t resp1 = 0;if(SD_Detect()== SD_PRESENT){if (SD_SendStatus(&resp1) != SD_OK){return SD_CARD_ERROR;}else{return (SDCardState)((resp1 >> 9) & 0x0F);}}else{return SD_CARD_ERROR;}
}/*** @brief  Detect if SD card is correctly plugged in the memory slot.* @param  None* @retval Return if SD is detected or not*/
uint8_t SD_Detect(void)
{__IO uint8_t status = SD_PRESENT;/*!< Check GPIO to detect SD */if (GPIO_ReadInputDataBit(SD_DETECT_GPIO_PORT, SD_DETECT_PIN) != Bit_RESET){status = SD_NOT_PRESENT;}return status;
}/*** @brief  Enquires cards about their operating voltage and configures *   clock controls.* @param  None* @retval SD_Error: SD Card Error code.*/
SD_Error SD_PowerON(void)
{__IO SD_Error errorstatus = SD_OK;uint32_t response = 0, count = 0, validvoltage = 0;uint32_t SDType = SD_STD_CAPACITY;/*!< Power ON Sequence -----------------------------------------------------*//*!< Configure the SDIO peripheral *//*!< SDIO_CK = SDIOCLK / (SDIO_INIT_CLK_DIV + 2) *//*!< SDIO_CK for initialization should not exceed 400 KHz */  SDIO_InitStructure.SDIO_ClockDiv = SDIO_INIT_CLK_DIV;SDIO_InitStructure.SDIO_ClockEdge = SDIO_ClockEdge_Rising;SDIO_InitStructure.SDIO_ClockBypass = SDIO_ClockBypass_Disable;SDIO_InitStructure.SDIO_ClockPowerSave = SDIO_ClockPowerSave_Disable;SDIO_InitStructure.SDIO_BusWide = SDIO_BusWide_1b;SDIO_InitStructure.SDIO_HardwareFlowControl = SDIO_HardwareFlowControl_Disable;SDIO_Init(&SDIO_InitStructure);/*!< Set Power State to ON */SDIO_SetPowerState(SDIO_PowerState_ON);/*!< Enable SDIO Clock */SDIO_ClockCmd(ENABLE);/*!< CMD0: GO_IDLE_STATE ---------------------------------------------------*//*!< No CMD response required */SDIO_CmdInitStructure.SDIO_Argument = 0x0;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_GO_IDLE_STATE;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_No;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdError();if (errorstatus != SD_OK){/*!< CMD Response TimeOut (wait for CMDSENT flag) */return(errorstatus);}/*!< CMD8: SEND_IF_COND ----------------------------------------------------*//*!< Send CMD8 to verify SD card interface operating condition *//*!< Argument: - [31:12]: Reserved (shall be set to '0')- [11:8]: Supply Voltage (VHS) 0x1 (Range: 2.7-3.6 V)- [7:0]: Check Pattern (recommended 0xAA) *//*!< CMD Response: R7 */SDIO_CmdInitStructure.SDIO_Argument = SD_CHECK_PATTERN;SDIO_CmdInitStructure.SDIO_CmdIndex = SDIO_SEND_IF_COND;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp7Error();if (errorstatus == SD_OK){CardType = SDIO_STD_CAPACITY_SD_CARD_V2_0; /*!< SD Card 2.0 */SDType = SD_HIGH_CAPACITY;}else{/*!< CMD55 */SDIO_CmdInitStructure.SDIO_Argument = 0x00;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_APP_CMD;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_APP_CMD);}/*!< CMD55 */SDIO_CmdInitStructure.SDIO_Argument = 0x00;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_APP_CMD;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_APP_CMD);/*!< If errorstatus is Command TimeOut, it is a MMC card *//*!< If errorstatus is SD_OK it is a SD card: SD card 2.0 (voltage range mismatch)or SD card 1.x */if (errorstatus == SD_OK){/*!< SD CARD *//*!< Send ACMD41 SD_APP_OP_COND with Argument 0x80100000 */while ((!validvoltage) && (count < SD_MAX_VOLT_TRIAL)){/*!< SEND CMD55 APP_CMD with RCA as 0 */SDIO_CmdInitStructure.SDIO_Argument = 0x00;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_APP_CMD;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_APP_CMD);if (errorstatus != SD_OK){return(errorstatus);}SDIO_CmdInitStructure.SDIO_Argument = SD_VOLTAGE_WINDOW_SD | SDType;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SD_APP_OP_COND;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp3Error();if (errorstatus != SD_OK){return(errorstatus);}response = SDIO_GetResponse(SDIO_RESP1);validvoltage = (((response >> 31) == 1) ? 1 : 0);count++;}if (count >= SD_MAX_VOLT_TRIAL){errorstatus = SD_INVALID_VOLTRANGE;return(errorstatus);}if (response &= SD_HIGH_CAPACITY){CardType = SDIO_HIGH_CAPACITY_SD_CARD;}}/*!< else MMC Card */return(errorstatus);
}/*** @brief  Turns the SDIO output signals off.* @param  None* @retval SD_Error: SD Card Error code.*/
SD_Error SD_PowerOFF(void)
{SD_Error errorstatus = SD_OK;/*!< Set Power State to OFF */SDIO_SetPowerState(SDIO_PowerState_OFF);return(errorstatus);
}/*** @brief  Intialises all cards or single card as the case may be Card(s) come *         into standby state.* @param  None* @retval SD_Error: SD Card Error code.*/
SD_Error SD_InitializeCards(void)
{SD_Error errorstatus = SD_OK;uint16_t rca = 0x01;if (SDIO_GetPowerState() == SDIO_PowerState_OFF){errorstatus = SD_REQUEST_NOT_APPLICABLE;return(errorstatus);}if (SDIO_SECURE_DIGITAL_IO_CARD != CardType){/*!< Send CMD2 ALL_SEND_CID */SDIO_CmdInitStructure.SDIO_Argument = 0x0;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_ALL_SEND_CID;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Long;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp2Error();if (SD_OK != errorstatus){return(errorstatus);}CID_Tab[0] = SDIO_GetResponse(SDIO_RESP1);CID_Tab[1] = SDIO_GetResponse(SDIO_RESP2);CID_Tab[2] = SDIO_GetResponse(SDIO_RESP3);CID_Tab[3] = SDIO_GetResponse(SDIO_RESP4);}if ((SDIO_STD_CAPACITY_SD_CARD_V1_1 == CardType) ||  (SDIO_STD_CAPACITY_SD_CARD_V2_0 == CardType) ||  (SDIO_SECURE_DIGITAL_IO_COMBO_CARD == CardType)||  (SDIO_HIGH_CAPACITY_SD_CARD == CardType)){/*!< Send CMD3 SET_REL_ADDR with argument 0 *//*!< SD Card publishes its RCA. */SDIO_CmdInitStructure.SDIO_Argument = 0x00;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SET_REL_ADDR;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp6Error(SD_CMD_SET_REL_ADDR, &rca);if (SD_OK != errorstatus){return(errorstatus);}}if (SDIO_SECURE_DIGITAL_IO_CARD != CardType){RCA = rca;/*!< Send CMD9 SEND_CSD with argument as card's RCA */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t)(rca << 16);SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SEND_CSD;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Long;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp2Error();if (SD_OK != errorstatus){return(errorstatus);}CSD_Tab[0] = SDIO_GetResponse(SDIO_RESP1);CSD_Tab[1] = SDIO_GetResponse(SDIO_RESP2);CSD_Tab[2] = SDIO_GetResponse(SDIO_RESP3);CSD_Tab[3] = SDIO_GetResponse(SDIO_RESP4);}errorstatus = SD_OK; /*!< All cards get intialized */return(errorstatus);
}/*** @brief  Returns information about specific card.* @param  cardinfo: pointer to a SD_CardInfo structure that contains all SD card *         information.* @retval SD_Error: SD Card Error code.*/
SD_Error SD_GetCardInfo(SD_CardInfo *cardinfo)
{SD_Error errorstatus = SD_OK;uint8_t tmp = 0;cardinfo->CardType = (uint8_t)CardType;cardinfo->RCA = (uint16_t)RCA;/*!< Byte 0 */tmp = (uint8_t)((CSD_Tab[0] & 0xFF000000) >> 24);cardinfo->SD_csd.CSDStruct = (tmp & 0xC0) >> 6;cardinfo->SD_csd.SysSpecVersion = (tmp & 0x3C) >> 2;cardinfo->SD_csd.Reserved1 = tmp & 0x03;/*!< Byte 1 */tmp = (uint8_t)((CSD_Tab[0] & 0x00FF0000) >> 16);cardinfo->SD_csd.TAAC = tmp;/*!< Byte 2 */tmp = (uint8_t)((CSD_Tab[0] & 0x0000FF00) >> 8);cardinfo->SD_csd.NSAC = tmp;/*!< Byte 3 */tmp = (uint8_t)(CSD_Tab[0] & 0x000000FF);cardinfo->SD_csd.MaxBusClkFrec = tmp;/*!< Byte 4 */tmp = (uint8_t)((CSD_Tab[1] & 0xFF000000) >> 24);cardinfo->SD_csd.CardComdClasses = tmp << 4;/*!< Byte 5 */tmp = (uint8_t)((CSD_Tab[1] & 0x00FF0000) >> 16);cardinfo->SD_csd.CardComdClasses |= (tmp & 0xF0) >> 4;cardinfo->SD_csd.RdBlockLen = tmp & 0x0F;/*!< Byte 6 */tmp = (uint8_t)((CSD_Tab[1] & 0x0000FF00) >> 8);cardinfo->SD_csd.PartBlockRead = (tmp & 0x80) >> 7;cardinfo->SD_csd.WrBlockMisalign = (tmp & 0x40) >> 6;cardinfo->SD_csd.RdBlockMisalign = (tmp & 0x20) >> 5;cardinfo->SD_csd.DSRImpl = (tmp & 0x10) >> 4;cardinfo->SD_csd.Reserved2 = 0; /*!< Reserved */if ((CardType == SDIO_STD_CAPACITY_SD_CARD_V1_1) || (CardType == SDIO_STD_CAPACITY_SD_CARD_V2_0)){cardinfo->SD_csd.DeviceSize = (tmp & 0x03) << 10;/*!< Byte 7 */tmp = (uint8_t)(CSD_Tab[1] & 0x000000FF);cardinfo->SD_csd.DeviceSize |= (tmp) << 2;/*!< Byte 8 */tmp = (uint8_t)((CSD_Tab[2] & 0xFF000000) >> 24);cardinfo->SD_csd.DeviceSize |= (tmp & 0xC0) >> 6;cardinfo->SD_csd.MaxRdCurrentVDDMin = (tmp & 0x38) >> 3;cardinfo->SD_csd.MaxRdCurrentVDDMax = (tmp & 0x07);/*!< Byte 9 */tmp = (uint8_t)((CSD_Tab[2] & 0x00FF0000) >> 16);cardinfo->SD_csd.MaxWrCurrentVDDMin = (tmp & 0xE0) >> 5;cardinfo->SD_csd.MaxWrCurrentVDDMax = (tmp & 0x1C) >> 2;cardinfo->SD_csd.DeviceSizeMul = (tmp & 0x03) << 1;/*!< Byte 10 */tmp = (uint8_t)((CSD_Tab[2] & 0x0000FF00) >> 8);cardinfo->SD_csd.DeviceSizeMul |= (tmp & 0x80) >> 7;cardinfo->CardCapacity = (cardinfo->SD_csd.DeviceSize + 1) ;cardinfo->CardCapacity *= (1 << (cardinfo->SD_csd.DeviceSizeMul + 2));cardinfo->CardBlockSize = 1 << (cardinfo->SD_csd.RdBlockLen);cardinfo->CardCapacity *= cardinfo->CardBlockSize;}else if (CardType == SDIO_HIGH_CAPACITY_SD_CARD){/*!< Byte 7 */tmp = (uint8_t)(CSD_Tab[1] & 0x000000FF);cardinfo->SD_csd.DeviceSize = (tmp & 0x3F) << 16;/*!< Byte 8 */tmp = (uint8_t)((CSD_Tab[2] & 0xFF000000) >> 24);cardinfo->SD_csd.DeviceSize |= (tmp << 8);/*!< Byte 9 */tmp = (uint8_t)((CSD_Tab[2] & 0x00FF0000) >> 16);cardinfo->SD_csd.DeviceSize |= (tmp);/*!< Byte 10 */tmp = (uint8_t)((CSD_Tab[2] & 0x0000FF00) >> 8);cardinfo->CardCapacity = ((uint64_t)cardinfo->SD_csd.DeviceSize + 1) * 512 * 1024;cardinfo->CardBlockSize = 512;    }cardinfo->SD_csd.EraseGrSize = (tmp & 0x40) >> 6;cardinfo->SD_csd.EraseGrMul = (tmp & 0x3F) << 1;/*!< Byte 11 */tmp = (uint8_t)(CSD_Tab[2] & 0x000000FF);cardinfo->SD_csd.EraseGrMul |= (tmp & 0x80) >> 7;cardinfo->SD_csd.WrProtectGrSize = (tmp & 0x7F);/*!< Byte 12 */tmp = (uint8_t)((CSD_Tab[3] & 0xFF000000) >> 24);cardinfo->SD_csd.WrProtectGrEnable = (tmp & 0x80) >> 7;cardinfo->SD_csd.ManDeflECC = (tmp & 0x60) >> 5;cardinfo->SD_csd.WrSpeedFact = (tmp & 0x1C) >> 2;cardinfo->SD_csd.MaxWrBlockLen = (tmp & 0x03) << 2;/*!< Byte 13 */tmp = (uint8_t)((CSD_Tab[3] & 0x00FF0000) >> 16);cardinfo->SD_csd.MaxWrBlockLen |= (tmp & 0xC0) >> 6;cardinfo->SD_csd.WriteBlockPaPartial = (tmp & 0x20) >> 5;cardinfo->SD_csd.Reserved3 = 0;cardinfo->SD_csd.ContentProtectAppli = (tmp & 0x01);/*!< Byte 14 */tmp = (uint8_t)((CSD_Tab[3] & 0x0000FF00) >> 8);cardinfo->SD_csd.FileFormatGrouop = (tmp & 0x80) >> 7;cardinfo->SD_csd.CopyFlag = (tmp & 0x40) >> 6;cardinfo->SD_csd.PermWrProtect = (tmp & 0x20) >> 5;cardinfo->SD_csd.TempWrProtect = (tmp & 0x10) >> 4;cardinfo->SD_csd.FileFormat = (tmp & 0x0C) >> 2;cardinfo->SD_csd.ECC = (tmp & 0x03);/*!< Byte 15 */tmp = (uint8_t)(CSD_Tab[3] & 0x000000FF);cardinfo->SD_csd.CSD_CRC = (tmp & 0xFE) >> 1;cardinfo->SD_csd.Reserved4 = 1;/*!< Byte 0 */tmp = (uint8_t)((CID_Tab[0] & 0xFF000000) >> 24);cardinfo->SD_cid.ManufacturerID = tmp;/*!< Byte 1 */tmp = (uint8_t)((CID_Tab[0] & 0x00FF0000) >> 16);cardinfo->SD_cid.OEM_AppliID = tmp << 8;/*!< Byte 2 */tmp = (uint8_t)((CID_Tab[0] & 0x000000FF00) >> 8);cardinfo->SD_cid.OEM_AppliID |= tmp;/*!< Byte 3 */tmp = (uint8_t)(CID_Tab[0] & 0x000000FF);cardinfo->SD_cid.ProdName1 = tmp << 24;/*!< Byte 4 */tmp = (uint8_t)((CID_Tab[1] & 0xFF000000) >> 24);cardinfo->SD_cid.ProdName1 |= tmp << 16;/*!< Byte 5 */tmp = (uint8_t)((CID_Tab[1] & 0x00FF0000) >> 16);cardinfo->SD_cid.ProdName1 |= tmp << 8;/*!< Byte 6 */tmp = (uint8_t)((CID_Tab[1] & 0x0000FF00) >> 8);cardinfo->SD_cid.ProdName1 |= tmp;/*!< Byte 7 */tmp = (uint8_t)(CID_Tab[1] & 0x000000FF);cardinfo->SD_cid.ProdName2 = tmp;/*!< Byte 8 */tmp = (uint8_t)((CID_Tab[2] & 0xFF000000) >> 24);cardinfo->SD_cid.ProdRev = tmp;/*!< Byte 9 */tmp = (uint8_t)((CID_Tab[2] & 0x00FF0000) >> 16);cardinfo->SD_cid.ProdSN = tmp << 24;/*!< Byte 10 */tmp = (uint8_t)((CID_Tab[2] & 0x0000FF00) >> 8);cardinfo->SD_cid.ProdSN |= tmp << 16;/*!< Byte 11 */tmp = (uint8_t)(CID_Tab[2] & 0x000000FF);cardinfo->SD_cid.ProdSN |= tmp << 8;/*!< Byte 12 */tmp = (uint8_t)((CID_Tab[3] & 0xFF000000) >> 24);cardinfo->SD_cid.ProdSN |= tmp;/*!< Byte 13 */tmp = (uint8_t)((CID_Tab[3] & 0x00FF0000) >> 16);cardinfo->SD_cid.Reserved1 |= (tmp & 0xF0) >> 4;cardinfo->SD_cid.ManufactDate = (tmp & 0x0F) << 8;/*!< Byte 14 */tmp = (uint8_t)((CID_Tab[3] & 0x0000FF00) >> 8);cardinfo->SD_cid.ManufactDate |= tmp;/*!< Byte 15 */tmp = (uint8_t)(CID_Tab[3] & 0x000000FF);cardinfo->SD_cid.CID_CRC = (tmp & 0xFE) >> 1;cardinfo->SD_cid.Reserved2 = 1;return(errorstatus);
}/*** @brief  Enables wide bus opeartion for the requeseted card if supported by *         card.* @param  WideMode: Specifies the SD card wide bus mode. *   This parameter can be one of the following values:*     @arg SDIO_BusWide_8b: 8-bit data transfer (Only for MMC)*     @arg SDIO_BusWide_4b: 4-bit data transfer*     @arg SDIO_BusWide_1b: 1-bit data transfer* @retval SD_Error: SD Card Error code.*/
SD_Error SD_GetCardStatus(SD_CardStatus *cardstatus)
{SD_Error errorstatus = SD_OK;uint8_t tmp = 0;errorstatus = SD_SendSDStatus((uint32_t *)SDSTATUS_Tab);if (errorstatus  != SD_OK){return(errorstatus);}/*!< Byte 0 */tmp = (uint8_t)((SDSTATUS_Tab[0] & 0xC0) >> 6);cardstatus->DAT_BUS_WIDTH = tmp;/*!< Byte 0 */tmp = (uint8_t)((SDSTATUS_Tab[0] & 0x20) >> 5);cardstatus->SECURED_MODE = tmp;/*!< Byte 2 */tmp = (uint8_t)((SDSTATUS_Tab[2] & 0xFF));cardstatus->SD_CARD_TYPE = tmp << 8;/*!< Byte 3 */tmp = (uint8_t)((SDSTATUS_Tab[3] & 0xFF));cardstatus->SD_CARD_TYPE |= tmp;/*!< Byte 4 */tmp = (uint8_t)(SDSTATUS_Tab[4] & 0xFF);cardstatus->SIZE_OF_PROTECTED_AREA = tmp << 24;/*!< Byte 5 */tmp = (uint8_t)(SDSTATUS_Tab[5] & 0xFF);cardstatus->SIZE_OF_PROTECTED_AREA |= tmp << 16;/*!< Byte 6 */tmp = (uint8_t)(SDSTATUS_Tab[6] & 0xFF);cardstatus->SIZE_OF_PROTECTED_AREA |= tmp << 8;/*!< Byte 7 */tmp = (uint8_t)(SDSTATUS_Tab[7] & 0xFF);cardstatus->SIZE_OF_PROTECTED_AREA |= tmp;/*!< Byte 8 */tmp = (uint8_t)((SDSTATUS_Tab[8] & 0xFF));cardstatus->SPEED_CLASS = tmp;/*!< Byte 9 */tmp = (uint8_t)((SDSTATUS_Tab[9] & 0xFF));cardstatus->PERFORMANCE_MOVE = tmp;/*!< Byte 10 */tmp = (uint8_t)((SDSTATUS_Tab[10] & 0xF0) >> 4);cardstatus->AU_SIZE = tmp;/*!< Byte 11 */tmp = (uint8_t)(SDSTATUS_Tab[11] & 0xFF);cardstatus->ERASE_SIZE = tmp << 8;/*!< Byte 12 */tmp = (uint8_t)(SDSTATUS_Tab[12] & 0xFF);cardstatus->ERASE_SIZE |= tmp;/*!< Byte 13 */tmp = (uint8_t)((SDSTATUS_Tab[13] & 0xFC) >> 2);cardstatus->ERASE_TIMEOUT = tmp;/*!< Byte 13 */tmp = (uint8_t)((SDSTATUS_Tab[13] & 0x3));cardstatus->ERASE_OFFSET = tmp;return(errorstatus);
}/*** @brief  Enables wide bus opeartion for the requeseted card if supported by *         card.* @param  WideMode: Specifies the SD card wide bus mode. *   This parameter can be one of the following values:*     @arg SDIO_BusWide_8b: 8-bit data transfer (Only for MMC)*     @arg SDIO_BusWide_4b: 4-bit data transfer*     @arg SDIO_BusWide_1b: 1-bit data transfer* @retval SD_Error: SD Card Error code.*/
SD_Error SD_EnableWideBusOperation(uint32_t WideMode)
{SD_Error errorstatus = SD_OK;/*!< MMC Card doesn't support this feature */if (SDIO_MULTIMEDIA_CARD == CardType){errorstatus = SD_UNSUPPORTED_FEATURE;return(errorstatus);}else if ((SDIO_STD_CAPACITY_SD_CARD_V1_1 == CardType) || (SDIO_STD_CAPACITY_SD_CARD_V2_0 == CardType) || (SDIO_HIGH_CAPACITY_SD_CARD == CardType)){if (SDIO_BusWide_8b == WideMode){errorstatus = SD_UNSUPPORTED_FEATURE;return(errorstatus);}else if (SDIO_BusWide_4b == WideMode){errorstatus = SDEnWideBus(ENABLE);if (SD_OK == errorstatus){/*!< Configure the SDIO peripheral */SDIO_InitStructure.SDIO_ClockDiv = SDIO_TRANSFER_CLK_DIV; SDIO_InitStructure.SDIO_ClockEdge = SDIO_ClockEdge_Rising;SDIO_InitStructure.SDIO_ClockBypass = SDIO_ClockBypass_Disable;SDIO_InitStructure.SDIO_ClockPowerSave = SDIO_ClockPowerSave_Disable;SDIO_InitStructure.SDIO_BusWide = SDIO_BusWide_4b;SDIO_InitStructure.SDIO_HardwareFlowControl = SDIO_HardwareFlowControl_Disable;SDIO_Init(&SDIO_InitStructure);}}else{errorstatus = SDEnWideBus(DISABLE);if (SD_OK == errorstatus){/*!< Configure the SDIO peripheral */SDIO_InitStructure.SDIO_ClockDiv = SDIO_TRANSFER_CLK_DIV; SDIO_InitStructure.SDIO_ClockEdge = SDIO_ClockEdge_Rising;SDIO_InitStructure.SDIO_ClockBypass = SDIO_ClockBypass_Disable;SDIO_InitStructure.SDIO_ClockPowerSave = SDIO_ClockPowerSave_Disable;SDIO_InitStructure.SDIO_BusWide = SDIO_BusWide_1b;SDIO_InitStructure.SDIO_HardwareFlowControl = SDIO_HardwareFlowControl_Disable;SDIO_Init(&SDIO_InitStructure);}}}return(errorstatus);
}/*** @brief  Selects od Deselects the corresponding card.* @param  addr: Address of the Card to be selected.* @retval SD_Error: SD Card Error code.*/
SD_Error SD_SelectDeselect(uint32_t addr)
{SD_Error errorstatus = SD_OK;/*!< Send CMD7 SDIO_SEL_DESEL_CARD */SDIO_CmdInitStructure.SDIO_Argument =  addr;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SEL_DESEL_CARD;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SEL_DESEL_CARD);return(errorstatus);
}/*** @brief  Allows to read one block from a specified address in a card. The Data*         transfer can be managed by DMA mode or Polling mode. * @note   This operation should be followed by two functions to check if the *         DMA Controller and SD Card status.*          - SD_ReadWaitOperation(): this function insure that the DMA*            controller has finished all data transfer.*          - SD_GetStatus(): to check that the SD Card has finished the *            data transfer and it is ready for data.            * @param  readbuff: pointer to the buffer that will contain the received data* @param  ReadAddr: Address from where data are to be read.  * @param  BlockSize: the SD card Data block size. The Block size should be 512.* @retval SD_Error: SD Card Error code.*/
SD_Error SD_ReadBlock(uint8_t *readbuff, uint64_t ReadAddr, uint16_t BlockSize)
{SD_Error errorstatus = SD_OK;
#if defined (SD_POLLING_MODE) uint32_t count = 0, *tempbuff = (uint32_t *)readbuff;
#endifTransferError = SD_OK;TransferEnd = 0;StopCondition = 0;SDIO->DCTRL = 0x0;if (CardType == SDIO_HIGH_CAPACITY_SD_CARD){BlockSize = 512;ReadAddr /= 512;}/* Set Block Size for Card */ SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) BlockSize;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SET_BLOCKLEN;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SET_BLOCKLEN);if (SD_OK != errorstatus){return(errorstatus);}#if defined (SD_DMA_MODE)SDIO_ITConfig(SDIO_IT_DCRCFAIL | SDIO_IT_DTIMEOUT | SDIO_IT_DATAEND | SDIO_IT_RXOVERR | SDIO_IT_STBITERR, ENABLE);SDIO_DMACmd(ENABLE);SD_LowLevel_DMA_RxConfig((uint32_t *)readbuff, BlockSize);
#endif  SDIO_DataInitStructure.SDIO_DataTimeOut = SD_DATATIMEOUT;SDIO_DataInitStructure.SDIO_DataLength = BlockSize;SDIO_DataInitStructure.SDIO_DataBlockSize = (uint32_t) 9 << 4;SDIO_DataInitStructure.SDIO_TransferDir = SDIO_TransferDir_ToSDIO;SDIO_DataInitStructure.SDIO_TransferMode = SDIO_TransferMode_Block;SDIO_DataInitStructure.SDIO_DPSM = SDIO_DPSM_Enable;SDIO_DataConfig(&SDIO_DataInitStructure);/*!< Send CMD17 READ_SINGLE_BLOCK */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t)ReadAddr;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_READ_SINGLE_BLOCK;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_READ_SINGLE_BLOCK);if (errorstatus != SD_OK){return(errorstatus);}
//#if defined (SD_DMA_MODE)
//SD_WaitReadOperation();
//#endif
#if defined (SD_POLLING_MODE)  /*!< In case of single block transfer, no need of stop transfer at all.*//*!< Polling mode */while (!(SDIO->STA &(SDIO_FLAG_RXOVERR | SDIO_FLAG_DCRCFAIL | SDIO_FLAG_DTIMEOUT | SDIO_FLAG_DBCKEND | SDIO_FLAG_STBITERR))){if (SDIO_GetFlagStatus(SDIO_FLAG_RXFIFOHF) != RESET){for (count = 0; count < 8; count++){*(tempbuff + count) = SDIO_ReadData();}tempbuff += 8;}}if (SDIO_GetFlagStatus(SDIO_FLAG_DTIMEOUT) != RESET){SDIO_ClearFlag(SDIO_FLAG_DTIMEOUT);errorstatus = SD_DATA_TIMEOUT;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_DCRCFAIL) != RESET){SDIO_ClearFlag(SDIO_FLAG_DCRCFAIL);errorstatus = SD_DATA_CRC_FAIL;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_RXOVERR) != RESET){SDIO_ClearFlag(SDIO_FLAG_RXOVERR);errorstatus = SD_RX_OVERRUN;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_STBITERR) != RESET){SDIO_ClearFlag(SDIO_FLAG_STBITERR);errorstatus = SD_START_BIT_ERR;return(errorstatus);}count = SD_DATATIMEOUT;while ((SDIO_GetFlagStatus(SDIO_FLAG_RXDAVL) != RESET) && (count > 0)){*tempbuff = SDIO_ReadData();tempbuff++;count--;}/*!< Clear all the static flags */SDIO_ClearFlag(SDIO_STATIC_FLAGS);#endifreturn(errorstatus);
}/*** @brief  Allows to read blocks from a specified address  in a card.  The Data*         transfer can be managed by DMA mode or Polling mode. * @note   This operation should be followed by two functions to check if the *         DMA Controller and SD Card status.*          - SD_ReadWaitOperation(): this function insure that the DMA*            controller has finished all data transfer.*          - SD_GetStatus(): to check that the SD Card has finished the *            data transfer and it is ready for data.   * @param  readbuff: pointer to the buffer that will contain the received data.* @param  ReadAddr: Address from where data are to be read.* @param  BlockSize: the SD card Data block size. The Block size should be 512.* @param  NumberOfBlocks: number of blocks to be read.* @retval SD_Error: SD Card Error code.*/
SD_Error SD_ReadMultiBlocks(uint8_t *readbuff, uint64_t ReadAddr, uint16_t BlockSize, uint32_t NumberOfBlocks)
{SD_Error errorstatus = SD_OK;TransferError = SD_OK;TransferEnd = 0;StopCondition = 1;SDIO->DCTRL = 0x0;if (CardType == SDIO_HIGH_CAPACITY_SD_CARD){BlockSize = 512;ReadAddr /= 512;}/*!< Set Block Size for Card */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) BlockSize;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SET_BLOCKLEN;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SET_BLOCKLEN);if (SD_OK != errorstatus){return(errorstatus);}SDIO_DataInitStructure.SDIO_DataTimeOut = SD_DATATIMEOUT;SDIO_DataInitStructure.SDIO_DataLength = NumberOfBlocks * BlockSize;SDIO_DataInitStructure.SDIO_DataBlockSize = (uint32_t) 9 << 4;SDIO_DataInitStructure.SDIO_TransferDir = SDIO_TransferDir_ToSDIO;SDIO_DataInitStructure.SDIO_TransferMode = SDIO_TransferMode_Block;SDIO_DataInitStructure.SDIO_DPSM = SDIO_DPSM_Enable;SDIO_DataConfig(&SDIO_DataInitStructure);/*!< Send CMD18 READ_MULT_BLOCK with argument data address */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t)ReadAddr;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_READ_MULT_BLOCK;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_READ_MULT_BLOCK);if (errorstatus != SD_OK){return(errorstatus);}SDIO_ITConfig(SDIO_IT_DCRCFAIL | SDIO_IT_DTIMEOUT | SDIO_IT_DATAEND | SDIO_IT_RXOVERR | SDIO_IT_STBITERR, ENABLE);SDIO_DMACmd(ENABLE);SD_LowLevel_DMA_RxConfig((uint32_t *)readbuff, (NumberOfBlocks * BlockSize));return(errorstatus);
}/*** @brief  This function waits until the SDIO DMA data transfer is finished. *         This function should be called after SDIO_ReadMultiBlocks() function*         to insure that all data sent by the card are already transferred by *         the DMA controller.* @param  None.* @retval SD_Error: SD Card Error code.*/
SD_Error SD_WaitReadOperation(void)
{SD_Error errorstatus = SD_OK;uint32_t timeout;timeout = SD_DATATIMEOUT;while ((DMAEndOfTransfer == 0x00) && (TransferEnd == 0) && (TransferError == SD_OK) && (timeout > 0)){timeout--;}DMAEndOfTransfer = 0x00;timeout = SD_DATATIMEOUT;while(((SDIO->STA & SDIO_FLAG_RXACT)) && (timeout > 0)){timeout--;  }if (StopCondition == 1){errorstatus = SD_StopTransfer();StopCondition = 0;}if ((timeout == 0) && (errorstatus == SD_OK)){errorstatus = SD_DATA_TIMEOUT;}/*!< Clear all the static flags */SDIO_ClearFlag(SDIO_STATIC_FLAGS);if (TransferError != SD_OK){return(TransferError);}else{return(errorstatus);  }
}/*** @brief  Allows to write one block starting from a specified address in a card.*         The Data transfer can be managed by DMA mode or Polling mode.* @note   This operation should be followed by two functions to check if the *         DMA Controller and SD Card status.*          - SD_ReadWaitOperation(): this function insure that the DMA*            controller has finished all data transfer.*          - SD_GetStatus(): to check that the SD Card has finished the *            data transfer and it is ready for data.      * @param  writebuff: pointer to the buffer that contain the data to be transferred.* @param  WriteAddr: Address from where data are to be read.   * @param  BlockSize: the SD card Data block size. The Block size should be 512.* @retval SD_Error: SD Card Error code.*/
SD_Error SD_WriteBlock(uint8_t *writebuff, uint64_t WriteAddr, uint16_t BlockSize)
{SD_Error errorstatus = SD_OK;#if defined (SD_POLLING_MODE)uint32_t bytestransferred = 0, count = 0, restwords = 0;uint32_t *tempbuff = (uint32_t *)writebuff;
#endifTransferError = SD_OK;TransferEnd = 0;StopCondition = 0;SDIO->DCTRL = 0x0;#if defined (SD_DMA_MODE)SDIO_ITConfig(SDIO_IT_DCRCFAIL | SDIO_IT_DTIMEOUT | SDIO_IT_DATAEND | SDIO_IT_RXOVERR | SDIO_IT_STBITERR, ENABLE);SD_LowLevel_DMA_TxConfig((uint32_t *)writebuff, BlockSize);SDIO_DMACmd(ENABLE);
#endifif (CardType == SDIO_HIGH_CAPACITY_SD_CARD){BlockSize = 512;WriteAddr /= 512;}/* Set Block Size for Card */ SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) BlockSize;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SET_BLOCKLEN;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SET_BLOCKLEN);if (SD_OK != errorstatus){return(errorstatus);}/*!< Send CMD24 WRITE_SINGLE_BLOCK */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t)WriteAddr;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_WRITE_SINGLE_BLOCK;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_WRITE_SINGLE_BLOCK);if (errorstatus != SD_OK){return(errorstatus);}SDIO_DataInitStructure.SDIO_DataTimeOut = SD_DATATIMEOUT;SDIO_DataInitStructure.SDIO_DataLength = BlockSize;SDIO_DataInitStructure.SDIO_DataBlockSize = (uint32_t) 9 << 4;SDIO_DataInitStructure.SDIO_TransferDir = SDIO_TransferDir_ToCard;SDIO_DataInitStructure.SDIO_TransferMode = SDIO_TransferMode_Block;SDIO_DataInitStructure.SDIO_DPSM = SDIO_DPSM_Enable;SDIO_DataConfig(&SDIO_DataInitStructure);
//#if defined (SD_DMA_MODE)
//  SD_WaitWriteOperation();
//#endif  /*!< In case of single data block transfer no need of stop command at all */
#if defined (SD_POLLING_MODE) while (!(SDIO->STA & (SDIO_FLAG_DBCKEND | SDIO_FLAG_TXUNDERR | SDIO_FLAG_DCRCFAIL | SDIO_FLAG_DTIMEOUT | SDIO_FLAG_STBITERR))){if (SDIO_GetFlagStatus(SDIO_FLAG_TXFIFOHE) != RESET){if ((512 - bytestransferred) < 32){restwords = ((512 - bytestransferred) % 4 == 0) ? ((512 - bytestransferred) / 4) : (( 512 -  bytestransferred) / 4 + 1);for (count = 0; count < restwords; count++, tempbuff++, bytestransferred += 4){SDIO_WriteData(*tempbuff);}}else{for (count = 0; count < 8; count++){SDIO_WriteData(*(tempbuff + count));}tempbuff += 8;bytestransferred += 32;}}}if (SDIO_GetFlagStatus(SDIO_FLAG_DTIMEOUT) != RESET){SDIO_ClearFlag(SDIO_FLAG_DTIMEOUT);errorstatus = SD_DATA_TIMEOUT;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_DCRCFAIL) != RESET){SDIO_ClearFlag(SDIO_FLAG_DCRCFAIL);errorstatus = SD_DATA_CRC_FAIL;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_TXUNDERR) != RESET){SDIO_ClearFlag(SDIO_FLAG_TXUNDERR);errorstatus = SD_TX_UNDERRUN;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_STBITERR) != RESET){SDIO_ClearFlag(SDIO_FLAG_STBITERR);errorstatus = SD_START_BIT_ERR;return(errorstatus);}#endifreturn(errorstatus);
}/*** @brief  Allows to write blocks starting from a specified address in a card.*         The Data transfer can be managed by DMA mode only. * @note   This operation should be followed by two functions to check if the *         DMA Controller and SD Card status.*          - SD_ReadWaitOperation(): this function insure that the DMA*            controller has finished all data transfer.*          - SD_GetStatus(): to check that the SD Card has finished the *            data transfer and it is ready for data.     * @param  WriteAddr: Address from where data are to be read.* @param  writebuff: pointer to the buffer that contain the data to be transferred.* @param  BlockSize: the SD card Data block size. The Block size should be 512.* @param  NumberOfBlocks: number of blocks to be written.* @retval SD_Error: SD Card Error code.*/
SD_Error SD_WriteMultiBlocks(uint8_t *writebuff, uint64_t WriteAddr, uint16_t BlockSize, uint32_t NumberOfBlocks)
{SD_Error errorstatus = SD_OK;TransferError = SD_OK;TransferEnd = 0;StopCondition = 1;SDIO->DCTRL = 0x0;if (CardType == SDIO_HIGH_CAPACITY_SD_CARD){BlockSize = 512;WriteAddr /= 512;}/* Set Block Size for Card */ SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) BlockSize;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SET_BLOCKLEN;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SET_BLOCKLEN);if (SD_OK != errorstatus){return(errorstatus);}/*!< To improve performance */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) (RCA << 16);SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_APP_CMD;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_APP_CMD);if (errorstatus != SD_OK){return(errorstatus);}/*!< To improve performance */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t)NumberOfBlocks;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SET_BLOCK_COUNT;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SET_BLOCK_COUNT);if (errorstatus != SD_OK){return(errorstatus);}/*!< Send CMD25 WRITE_MULT_BLOCK with argument data address */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t)WriteAddr;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_WRITE_MULT_BLOCK;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_WRITE_MULT_BLOCK);if (SD_OK != errorstatus){return(errorstatus);}SDIO_DataInitStructure.SDIO_DataTimeOut = SD_DATATIMEOUT;SDIO_DataInitStructure.SDIO_DataLength = NumberOfBlocks * BlockSize;SDIO_DataInitStructure.SDIO_DataBlockSize = (uint32_t) 9 << 4;SDIO_DataInitStructure.SDIO_TransferDir = SDIO_TransferDir_ToCard;SDIO_DataInitStructure.SDIO_TransferMode = SDIO_TransferMode_Block;SDIO_DataInitStructure.SDIO_DPSM = SDIO_DPSM_Enable;SDIO_DataConfig(&SDIO_DataInitStructure);SDIO_ITConfig(SDIO_IT_DCRCFAIL | SDIO_IT_DTIMEOUT | SDIO_IT_DATAEND | SDIO_IT_RXOVERR | SDIO_IT_STBITERR, ENABLE);SDIO_DMACmd(ENABLE);SD_LowLevel_DMA_TxConfig((uint32_t *)writebuff, (NumberOfBlocks * BlockSize));return(errorstatus);
}/*** @brief  This function waits until the SDIO DMA data transfer is finished. *         This function should be called after SDIO_WriteBlock() and*         SDIO_WriteMultiBlocks() function to insure that all data sent by the *         card are already transferred by the DMA controller.        * @param  None.* @retval SD_Error: SD Card Error code.*/
SD_Error SD_WaitWriteOperation(void)
{SD_Error errorstatus = SD_OK;uint32_t timeout;timeout = SD_DATATIMEOUT;while ((DMAEndOfTransfer == 0x00) && (TransferEnd == 0) && (TransferError == SD_OK) && (timeout > 0)){timeout--;}DMAEndOfTransfer = 0x00;timeout = SD_DATATIMEOUT;while(((SDIO->STA & SDIO_FLAG_TXACT)) && (timeout > 0)){timeout--;  }if (StopCondition == 1){errorstatus = SD_StopTransfer();StopCondition = 0;}if ((timeout == 0) && (errorstatus == SD_OK)){errorstatus = SD_DATA_TIMEOUT;}/*!< Clear all the static flags */SDIO_ClearFlag(SDIO_STATIC_FLAGS);if (TransferError != SD_OK){return(TransferError);}else{return(errorstatus);}
}/*** @brief  Gets the cuurent data transfer state.* @param  None* @retval SDTransferState: Data Transfer state.*   This value can be: *        - SD_TRANSFER_OK: No data transfer is acting*        - SD_TRANSFER_BUSY: Data transfer is acting*/
SDTransferState SD_GetTransferState(void)
{if (SDIO->STA & (SDIO_FLAG_TXACT | SDIO_FLAG_RXACT)){return(SD_TRANSFER_BUSY);}else{return(SD_TRANSFER_OK);}
}/*** @brief  Aborts an ongoing data transfer.* @param  None* @retval SD_Error: SD Card Error code.*/
SD_Error SD_StopTransfer(void)
{SD_Error errorstatus = SD_OK;/*!< Send CMD12 STOP_TRANSMISSION  */SDIO_CmdInitStructure.SDIO_Argument = 0x0;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_STOP_TRANSMISSION;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_STOP_TRANSMISSION);return(errorstatus);
}/*** @brief  Allows to erase memory area specified for the given card.* @param  startaddr: the start address.* @param  endaddr: the end address.* @retval SD_Error: SD Card Error code.*/
SD_Error SD_Erase(uint32_t startaddr, uint32_t endaddr)
{SD_Error errorstatus = SD_OK;uint32_t delay = 0;__IO uint32_t maxdelay = 0;uint8_t cardstate = 0;/*!< Check if the card coomnd class supports erase command */if (((CSD_Tab[1] >> 20) & SD_CCCC_ERASE) == 0){errorstatus = SD_REQUEST_NOT_APPLICABLE;return(errorstatus);}maxdelay = 120000 / ((SDIO->CLKCR & 0xFF) + 2);if (SDIO_GetResponse(SDIO_RESP1) & SD_CARD_LOCKED){errorstatus = SD_LOCK_UNLOCK_FAILED;return(errorstatus);}if (CardType == SDIO_HIGH_CAPACITY_SD_CARD){startaddr /= 512;endaddr /= 512;}/*!< According to sd-card spec 1.0 ERASE_GROUP_START (CMD32) and erase_group_end(CMD33) */if ((SDIO_STD_CAPACITY_SD_CARD_V1_1 == CardType) || (SDIO_STD_CAPACITY_SD_CARD_V2_0 == CardType) || (SDIO_HIGH_CAPACITY_SD_CARD == CardType)){/*!< Send CMD32 SD_ERASE_GRP_START with argument as addr  */SDIO_CmdInitStructure.SDIO_Argument = startaddr;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SD_ERASE_GRP_START;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SD_ERASE_GRP_START);if (errorstatus != SD_OK){return(errorstatus);}/*!< Send CMD33 SD_ERASE_GRP_END with argument as addr  */SDIO_CmdInitStructure.SDIO_Argument = endaddr;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SD_ERASE_GRP_END;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SD_ERASE_GRP_END);if (errorstatus != SD_OK){return(errorstatus);}}/*!< Send CMD38 ERASE */SDIO_CmdInitStructure.SDIO_Argument = 0;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_ERASE;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_ERASE);if (errorstatus != SD_OK){return(errorstatus);}for (delay = 0; delay < maxdelay; delay++){}/*!< Wait till the card is in programming state */errorstatus = IsCardProgramming(&cardstate);delay = SD_DATATIMEOUT;while ((delay > 0) && (errorstatus == SD_OK) && ((SD_CARD_PROGRAMMING == cardstate) || (SD_CARD_RECEIVING == cardstate))){errorstatus = IsCardProgramming(&cardstate);delay--;}return(errorstatus);
}/*** @brief  Returns the current card's status.* @param  pcardstatus: pointer to the buffer that will contain the SD card *         status (Card Status register).* @retval SD_Error: SD Card Error code.*/
SD_Error SD_SendStatus(uint32_t *pcardstatus)
{SD_Error errorstatus = SD_OK;if (pcardstatus == NULL){errorstatus = SD_INVALID_PARAMETER;return(errorstatus);}SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) RCA << 16;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SEND_STATUS;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SEND_STATUS);if (errorstatus != SD_OK){return(errorstatus);}*pcardstatus = SDIO_GetResponse(SDIO_RESP1);return(errorstatus);
}/*** @brief  Returns the current SD card's status.* @param  psdstatus: pointer to the buffer that will contain the SD card status *         (SD Status register).* @retval SD_Error: SD Card Error code.*/
SD_Error SD_SendSDStatus(uint32_t *psdstatus)
{SD_Error errorstatus = SD_OK;uint32_t count = 0;if (SDIO_GetResponse(SDIO_RESP1) & SD_CARD_LOCKED){errorstatus = SD_LOCK_UNLOCK_FAILED;return(errorstatus);}/*!< Set block size for card if it is not equal to current block size for card. */SDIO_CmdInitStructure.SDIO_Argument = 64;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SET_BLOCKLEN;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SET_BLOCKLEN);if (errorstatus != SD_OK){return(errorstatus);}/*!< CMD55 */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) RCA << 16;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_APP_CMD;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_APP_CMD);if (errorstatus != SD_OK){return(errorstatus);}SDIO_DataInitStructure.SDIO_DataTimeOut = SD_DATATIMEOUT;SDIO_DataInitStructure.SDIO_DataLength = 64;SDIO_DataInitStructure.SDIO_DataBlockSize = SDIO_DataBlockSize_64b;SDIO_DataInitStructure.SDIO_TransferDir = SDIO_TransferDir_ToSDIO;SDIO_DataInitStructure.SDIO_TransferMode = SDIO_TransferMode_Block;SDIO_DataInitStructure.SDIO_DPSM = SDIO_DPSM_Enable;SDIO_DataConfig(&SDIO_DataInitStructure);/*!< Send ACMD13 SD_APP_STAUS  with argument as card's RCA.*/SDIO_CmdInitStructure.SDIO_Argument = 0;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SD_APP_STAUS;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SD_APP_STAUS);if (errorstatus != SD_OK){return(errorstatus);}while (!(SDIO->STA &(SDIO_FLAG_RXOVERR | SDIO_FLAG_DCRCFAIL | SDIO_FLAG_DTIMEOUT | SDIO_FLAG_DBCKEND | SDIO_FLAG_STBITERR))){if (SDIO_GetFlagStatus(SDIO_FLAG_RXFIFOHF) != RESET){for (count = 0; count < 8; count++){*(psdstatus + count) = SDIO_ReadData();}psdstatus += 8;}}if (SDIO_GetFlagStatus(SDIO_FLAG_DTIMEOUT) != RESET){SDIO_ClearFlag(SDIO_FLAG_DTIMEOUT);errorstatus = SD_DATA_TIMEOUT;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_DCRCFAIL) != RESET){SDIO_ClearFlag(SDIO_FLAG_DCRCFAIL);errorstatus = SD_DATA_CRC_FAIL;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_RXOVERR) != RESET){SDIO_ClearFlag(SDIO_FLAG_RXOVERR);errorstatus = SD_RX_OVERRUN;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_STBITERR) != RESET){SDIO_ClearFlag(SDIO_FLAG_STBITERR);errorstatus = SD_START_BIT_ERR;return(errorstatus);}count = SD_DATATIMEOUT;while ((SDIO_GetFlagStatus(SDIO_FLAG_RXDAVL) != RESET) && (count > 0)){*psdstatus = SDIO_ReadData();psdstatus++;count--;}/*!< Clear all the static status flags*/SDIO_ClearFlag(SDIO_STATIC_FLAGS);return(errorstatus);
}/*** @brief  Allows to process all the interrupts that are high.* @param  None* @retval SD_Error: SD Card Error code.*/
SD_Error SD_ProcessIRQSrc(void)
{ if (SDIO_GetITStatus(SDIO_IT_DATAEND) != RESET){TransferError = SD_OK;SDIO_ClearITPendingBit(SDIO_IT_DATAEND);TransferEnd = 1;}  else if (SDIO_GetITStatus(SDIO_IT_DCRCFAIL) != RESET){SDIO_ClearITPendingBit(SDIO_IT_DCRCFAIL);TransferError = SD_DATA_CRC_FAIL;}else if (SDIO_GetITStatus(SDIO_IT_DTIMEOUT) != RESET){SDIO_ClearITPendingBit(SDIO_IT_DTIMEOUT);TransferError = SD_DATA_TIMEOUT;}else if (SDIO_GetITStatus(SDIO_IT_RXOVERR) != RESET){SDIO_ClearITPendingBit(SDIO_IT_RXOVERR);TransferError = SD_RX_OVERRUN;}else if (SDIO_GetITStatus(SDIO_IT_TXUNDERR) != RESET){SDIO_ClearITPendingBit(SDIO_IT_TXUNDERR);TransferError = SD_TX_UNDERRUN;}else if (SDIO_GetITStatus(SDIO_IT_STBITERR) != RESET){SDIO_ClearITPendingBit(SDIO_IT_STBITERR);TransferError = SD_START_BIT_ERR;}SDIO_ITConfig(SDIO_IT_DCRCFAIL | SDIO_IT_DTIMEOUT | SDIO_IT_DATAEND |SDIO_IT_TXFIFOHE | SDIO_IT_RXFIFOHF | SDIO_IT_TXUNDERR |SDIO_IT_RXOVERR | SDIO_IT_STBITERR, DISABLE);return(TransferError);
}/*** @brief  This function waits until the SDIO DMA data transfer is finished. * @param  None.* @retval None.*/
void SD_ProcessDMAIRQ(void)
{if(DMA2->HLISR & SD_SDIO_DMA_FLAG_TCIF)//  if(DMA2->LISR & SD_SDIO_DMA_FLAG_TCIF){DMAEndOfTransfer = 0x01;DMA_ClearFlag(SD_SDIO_DMA_STREAM, SD_SDIO_DMA_FLAG_TCIF|SD_SDIO_DMA_FLAG_FEIF);}
}/*** @brief  Checks for error conditions for CMD0.* @param  None* @retval SD_Error: SD Card Error code.*/
static SD_Error CmdError(void)
{SD_Error errorstatus = SD_OK;uint32_t timeout;timeout = SDIO_CMD0TIMEOUT; /*!< 10000 */while ((timeout > 0) && (SDIO_GetFlagStatus(SDIO_FLAG_CMDSENT) == RESET)){timeout--;}if (timeout == 0){errorstatus = SD_CMD_RSP_TIMEOUT;return(errorstatus);}/*!< Clear all the static flags */SDIO_ClearFlag(SDIO_STATIC_FLAGS);return(errorstatus);
}/*** @brief  Checks for error conditions for R7 response.* @param  None* @retval SD_Error: SD Card Error code.*/
static SD_Error CmdResp7Error(void)
{SD_Error errorstatus = SD_OK;uint32_t status;uint32_t timeout = SDIO_CMD0TIMEOUT;status = SDIO->STA;while (!(status & (SDIO_FLAG_CCRCFAIL | SDIO_FLAG_CMDREND | SDIO_FLAG_CTIMEOUT)) && (timeout > 0)){timeout--;status = SDIO->STA;}if ((timeout == 0) || (status & SDIO_FLAG_CTIMEOUT)){/*!< Card is not V2.0 complient or card does not support the set voltage range */errorstatus = SD_CMD_RSP_TIMEOUT;SDIO_ClearFlag(SDIO_FLAG_CTIMEOUT);return(errorstatus);}if (status & SDIO_FLAG_CMDREND){/*!< Card is SD V2.0 compliant */errorstatus = SD_OK;SDIO_ClearFlag(SDIO_FLAG_CMDREND);return(errorstatus);}return(errorstatus);
}/*** @brief  Checks for error conditions for R1 response.* @param  cmd: The sent command index.* @retval SD_Error: SD Card Error code.*/
static SD_Error CmdResp1Error(uint8_t cmd)
{SD_Error errorstatus = SD_OK;uint32_t status;uint32_t response_r1;status = SDIO->STA;while (!(status & (SDIO_FLAG_CCRCFAIL | SDIO_FLAG_CMDREND | SDIO_FLAG_CTIMEOUT))){status = SDIO->STA;}if (status & SDIO_FLAG_CTIMEOUT){errorstatus = SD_CMD_RSP_TIMEOUT;SDIO_ClearFlag(SDIO_FLAG_CTIMEOUT);return(errorstatus);}else if (status & SDIO_FLAG_CCRCFAIL){errorstatus = SD_CMD_CRC_FAIL;SDIO_ClearFlag(SDIO_FLAG_CCRCFAIL);return(errorstatus);}/*!< Check response received is of desired command */if (SDIO_GetCommandResponse() != cmd){errorstatus = SD_ILLEGAL_CMD;return(errorstatus);}/*!< Clear all the static flags */SDIO_ClearFlag(SDIO_STATIC_FLAGS);/*!< We have received response, retrieve it for analysis  */response_r1 = SDIO_GetResponse(SDIO_RESP1);if ((response_r1 & SD_OCR_ERRORBITS) == SD_ALLZERO){return(errorstatus);}if (response_r1 & SD_OCR_ADDR_OUT_OF_RANGE){return(SD_ADDR_OUT_OF_RANGE);}if (response_r1 & SD_OCR_ADDR_MISALIGNED){return(SD_ADDR_MISALIGNED);}if (response_r1 & SD_OCR_BLOCK_LEN_ERR){return(SD_BLOCK_LEN_ERR);}if (response_r1 & SD_OCR_ERASE_SEQ_ERR){return(SD_ERASE_SEQ_ERR);}if (response_r1 & SD_OCR_BAD_ERASE_PARAM){return(SD_BAD_ERASE_PARAM);}if (response_r1 & SD_OCR_WRITE_PROT_VIOLATION){return(SD_WRITE_PROT_VIOLATION);}if (response_r1 & SD_OCR_LOCK_UNLOCK_FAILED){return(SD_LOCK_UNLOCK_FAILED);}if (response_r1 & SD_OCR_COM_CRC_FAILED){return(SD_COM_CRC_FAILED);}if (response_r1 & SD_OCR_ILLEGAL_CMD){return(SD_ILLEGAL_CMD);}if (response_r1 & SD_OCR_CARD_ECC_FAILED){return(SD_CARD_ECC_FAILED);}if (response_r1 & SD_OCR_CC_ERROR){return(SD_CC_ERROR);}if (response_r1 & SD_OCR_GENERAL_UNKNOWN_ERROR){return(SD_GENERAL_UNKNOWN_ERROR);}if (response_r1 & SD_OCR_STREAM_READ_UNDERRUN){return(SD_STREAM_READ_UNDERRUN);}if (response_r1 & SD_OCR_STREAM_WRITE_OVERRUN){return(SD_STREAM_WRITE_OVERRUN);}if (response_r1 & SD_OCR_CID_CSD_OVERWRIETE){return(SD_CID_CSD_OVERWRITE);}if (response_r1 & SD_OCR_WP_ERASE_SKIP){return(SD_WP_ERASE_SKIP);}if (response_r1 & SD_OCR_CARD_ECC_DISABLED){return(SD_CARD_ECC_DISABLED);}if (response_r1 & SD_OCR_ERASE_RESET){return(SD_ERASE_RESET);}if (response_r1 & SD_OCR_AKE_SEQ_ERROR){return(SD_AKE_SEQ_ERROR);}return(errorstatus);
}/*** @brief  Checks for error conditions for R3 (OCR) response.* @param  None* @retval SD_Error: SD Card Error code.*/
static SD_Error CmdResp3Error(void)
{SD_Error errorstatus = SD_OK;uint32_t status;status = SDIO->STA;while (!(status & (SDIO_FLAG_CCRCFAIL | SDIO_FLAG_CMDREND | SDIO_FLAG_CTIMEOUT))){status = SDIO->STA;}if (status & SDIO_FLAG_CTIMEOUT){errorstatus = SD_CMD_RSP_TIMEOUT;SDIO_ClearFlag(SDIO_FLAG_CTIMEOUT);return(errorstatus);}/*!< Clear all the static flags */SDIO_ClearFlag(SDIO_STATIC_FLAGS);return(errorstatus);
}/*** @brief  Checks for error conditions for R2 (CID or CSD) response.* @param  None* @retval SD_Error: SD Card Error code.*/
static SD_Error CmdResp2Error(void)
{SD_Error errorstatus = SD_OK;uint32_t status;status = SDIO->STA;while (!(status & (SDIO_FLAG_CCRCFAIL | SDIO_FLAG_CTIMEOUT | SDIO_FLAG_CMDREND))){status = SDIO->STA;}if (status & SDIO_FLAG_CTIMEOUT){errorstatus = SD_CMD_RSP_TIMEOUT;SDIO_ClearFlag(SDIO_FLAG_CTIMEOUT);return(errorstatus);}else if (status & SDIO_FLAG_CCRCFAIL){errorstatus = SD_CMD_CRC_FAIL;SDIO_ClearFlag(SDIO_FLAG_CCRCFAIL);return(errorstatus);}/*!< Clear all the static flags */SDIO_ClearFlag(SDIO_STATIC_FLAGS);return(errorstatus);
}/*** @brief  Checks for error conditions for R6 (RCA) response.* @param  cmd: The sent command index.* @param  prca: pointer to the variable that will contain the SD card relative *         address RCA. * @retval SD_Error: SD Card Error code.*/
static SD_Error CmdResp6Error(uint8_t cmd, uint16_t *prca)
{SD_Error errorstatus = SD_OK;uint32_t status;uint32_t response_r1;status = SDIO->STA;while (!(status & (SDIO_FLAG_CCRCFAIL | SDIO_FLAG_CTIMEOUT | SDIO_FLAG_CMDREND))){status = SDIO->STA;}if (status & SDIO_FLAG_CTIMEOUT){errorstatus = SD_CMD_RSP_TIMEOUT;SDIO_ClearFlag(SDIO_FLAG_CTIMEOUT);return(errorstatus);}else if (status & SDIO_FLAG_CCRCFAIL){errorstatus = SD_CMD_CRC_FAIL;SDIO_ClearFlag(SDIO_FLAG_CCRCFAIL);return(errorstatus);}/*!< Check response received is of desired command */if (SDIO_GetCommandResponse() != cmd){errorstatus = SD_ILLEGAL_CMD;return(errorstatus);}/*!< Clear all the static flags */SDIO_ClearFlag(SDIO_STATIC_FLAGS);/*!< We have received response, retrieve it.  */response_r1 = SDIO_GetResponse(SDIO_RESP1);if (SD_ALLZERO == (response_r1 & (SD_R6_GENERAL_UNKNOWN_ERROR | SD_R6_ILLEGAL_CMD | SD_R6_COM_CRC_FAILED))){*prca = (uint16_t) (response_r1 >> 16);return(errorstatus);}if (response_r1 & SD_R6_GENERAL_UNKNOWN_ERROR){return(SD_GENERAL_UNKNOWN_ERROR);}if (response_r1 & SD_R6_ILLEGAL_CMD){return(SD_ILLEGAL_CMD);}if (response_r1 & SD_R6_COM_CRC_FAILED){return(SD_COM_CRC_FAILED);}return(errorstatus);
}/*** @brief  Enables or disables the SDIO wide bus mode.* @param  NewState: new state of the SDIO wide bus mode.*   This parameter can be: ENABLE or DISABLE.* @retval SD_Error: SD Card Error code.*/
static SD_Error SDEnWideBus(FunctionalState NewState)
{SD_Error errorstatus = SD_OK;uint32_t scr[2] = {0, 0};if (SDIO_GetResponse(SDIO_RESP1) & SD_CARD_LOCKED){errorstatus = SD_LOCK_UNLOCK_FAILED;return(errorstatus);}/*!< Get SCR Register */errorstatus = FindSCR((uint16_t)RCA, scr);if (errorstatus != SD_OK){return(errorstatus);}/*!< If wide bus operation to be enabled */if (NewState == ENABLE){/*!< If requested card supports wide bus operation */if ((scr[1] & SD_WIDE_BUS_SUPPORT) != SD_ALLZERO){/*!< Send CMD55 APP_CMD with argument as card's RCA.*/SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) RCA << 16;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_APP_CMD;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_APP_CMD);if (errorstatus != SD_OK){return(errorstatus);}/*!< Send ACMD6 APP_CMD with argument as 2 for wide bus mode */SDIO_CmdInitStructure.SDIO_Argument = 0x2;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_APP_SD_SET_BUSWIDTH;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_APP_SD_SET_BUSWIDTH);if (errorstatus != SD_OK){return(errorstatus);}return(errorstatus);}else{errorstatus = SD_REQUEST_NOT_APPLICABLE;return(errorstatus);}}   /*!< If wide bus operation to be disabled */else{/*!< If requested card supports 1 bit mode operation */if ((scr[1] & SD_SINGLE_BUS_SUPPORT) != SD_ALLZERO){/*!< Send CMD55 APP_CMD with argument as card's RCA.*/SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) RCA << 16;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_APP_CMD;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_APP_CMD);if (errorstatus != SD_OK){return(errorstatus);}/*!< Send ACMD6 APP_CMD with argument as 2 for wide bus mode */SDIO_CmdInitStructure.SDIO_Argument = 0x00;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_APP_SD_SET_BUSWIDTH;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_APP_SD_SET_BUSWIDTH);if (errorstatus != SD_OK){return(errorstatus);}return(errorstatus);}else{errorstatus = SD_REQUEST_NOT_APPLICABLE;return(errorstatus);}}
}/*** @brief  Checks if the SD card is in programming state.* @param  pstatus: pointer to the variable that will contain the SD card state.* @retval SD_Error: SD Card Error code.*/
static SD_Error IsCardProgramming(uint8_t *pstatus)
{SD_Error errorstatus = SD_OK;__IO uint32_t respR1 = 0, status = 0;SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) RCA << 16;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SEND_STATUS;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);status = SDIO->STA;while (!(status & (SDIO_FLAG_CCRCFAIL | SDIO_FLAG_CMDREND | SDIO_FLAG_CTIMEOUT))){status = SDIO->STA;}if (status & SDIO_FLAG_CTIMEOUT){errorstatus = SD_CMD_RSP_TIMEOUT;SDIO_ClearFlag(SDIO_FLAG_CTIMEOUT);return(errorstatus);}else if (status & SDIO_FLAG_CCRCFAIL){errorstatus = SD_CMD_CRC_FAIL;SDIO_ClearFlag(SDIO_FLAG_CCRCFAIL);return(errorstatus);}status = (uint32_t)SDIO_GetCommandResponse();/*!< Check response received is of desired command */if (status != SD_CMD_SEND_STATUS){errorstatus = SD_ILLEGAL_CMD;return(errorstatus);}/*!< Clear all the static flags */SDIO_ClearFlag(SDIO_STATIC_FLAGS);/*!< We have received response, retrieve it for analysis  */respR1 = SDIO_GetResponse(SDIO_RESP1);/*!< Find out card status */*pstatus = (uint8_t) ((respR1 >> 9) & 0x0000000F);if ((respR1 & SD_OCR_ERRORBITS) == SD_ALLZERO){return(errorstatus);}if (respR1 & SD_OCR_ADDR_OUT_OF_RANGE){return(SD_ADDR_OUT_OF_RANGE);}if (respR1 & SD_OCR_ADDR_MISALIGNED){return(SD_ADDR_MISALIGNED);}if (respR1 & SD_OCR_BLOCK_LEN_ERR){return(SD_BLOCK_LEN_ERR);}if (respR1 & SD_OCR_ERASE_SEQ_ERR){return(SD_ERASE_SEQ_ERR);}if (respR1 & SD_OCR_BAD_ERASE_PARAM){return(SD_BAD_ERASE_PARAM);}if (respR1 & SD_OCR_WRITE_PROT_VIOLATION){return(SD_WRITE_PROT_VIOLATION);}if (respR1 & SD_OCR_LOCK_UNLOCK_FAILED){return(SD_LOCK_UNLOCK_FAILED);}if (respR1 & SD_OCR_COM_CRC_FAILED){return(SD_COM_CRC_FAILED);}if (respR1 & SD_OCR_ILLEGAL_CMD){return(SD_ILLEGAL_CMD);}if (respR1 & SD_OCR_CARD_ECC_FAILED){return(SD_CARD_ECC_FAILED);}if (respR1 & SD_OCR_CC_ERROR){return(SD_CC_ERROR);}if (respR1 & SD_OCR_GENERAL_UNKNOWN_ERROR){return(SD_GENERAL_UNKNOWN_ERROR);}if (respR1 & SD_OCR_STREAM_READ_UNDERRUN){return(SD_STREAM_READ_UNDERRUN);}if (respR1 & SD_OCR_STREAM_WRITE_OVERRUN){return(SD_STREAM_WRITE_OVERRUN);}if (respR1 & SD_OCR_CID_CSD_OVERWRIETE){return(SD_CID_CSD_OVERWRITE);}if (respR1 & SD_OCR_WP_ERASE_SKIP){return(SD_WP_ERASE_SKIP);}if (respR1 & SD_OCR_CARD_ECC_DISABLED){return(SD_CARD_ECC_DISABLED);}if (respR1 & SD_OCR_ERASE_RESET){return(SD_ERASE_RESET);}if (respR1 & SD_OCR_AKE_SEQ_ERROR){return(SD_AKE_SEQ_ERROR);}return(errorstatus);
}/*** @brief  Find the SD card SCR register value.* @param  rca: selected card address.* @param  pscr: pointer to the buffer that will contain the SCR value.* @retval SD_Error: SD Card Error code.*/
static SD_Error FindSCR(uint16_t rca, uint32_t *pscr)
{uint32_t index = 0;SD_Error errorstatus = SD_OK;uint32_t tempscr[2] = {0, 0};/*!< Set Block Size To 8 Bytes *//*!< Send CMD55 APP_CMD with argument as card's RCA */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t)8;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SET_BLOCKLEN;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SET_BLOCKLEN);if (errorstatus != SD_OK){return(errorstatus);}/*!< Send CMD55 APP_CMD with argument as card's RCA */SDIO_CmdInitStructure.SDIO_Argument = (uint32_t) RCA << 16;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_APP_CMD;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_APP_CMD);if (errorstatus != SD_OK){return(errorstatus);}SDIO_DataInitStructure.SDIO_DataTimeOut = SD_DATATIMEOUT;SDIO_DataInitStructure.SDIO_DataLength = 8;SDIO_DataInitStructure.SDIO_DataBlockSize = SDIO_DataBlockSize_8b;SDIO_DataInitStructure.SDIO_TransferDir = SDIO_TransferDir_ToSDIO;SDIO_DataInitStructure.SDIO_TransferMode = SDIO_TransferMode_Block;SDIO_DataInitStructure.SDIO_DPSM = SDIO_DPSM_Enable;SDIO_DataConfig(&SDIO_DataInitStructure);/*!< Send ACMD51 SD_APP_SEND_SCR with argument as 0 */SDIO_CmdInitStructure.SDIO_Argument = 0x0;SDIO_CmdInitStructure.SDIO_CmdIndex = SD_CMD_SD_APP_SEND_SCR;SDIO_CmdInitStructure.SDIO_Response = SDIO_Response_Short;SDIO_CmdInitStructure.SDIO_Wait = SDIO_Wait_No;SDIO_CmdInitStructure.SDIO_CPSM = SDIO_CPSM_Enable;SDIO_SendCommand(&SDIO_CmdInitStructure);errorstatus = CmdResp1Error(SD_CMD_SD_APP_SEND_SCR);if (errorstatus != SD_OK){return(errorstatus);}while (!(SDIO->STA & (SDIO_FLAG_RXOVERR | SDIO_FLAG_DCRCFAIL | SDIO_FLAG_DTIMEOUT | SDIO_FLAG_DBCKEND | SDIO_FLAG_STBITERR))){if (SDIO_GetFlagStatus(SDIO_FLAG_RXDAVL) != RESET){*(tempscr + index) = SDIO_ReadData();index++;}}if (SDIO_GetFlagStatus(SDIO_FLAG_DTIMEOUT) != RESET){SDIO_ClearFlag(SDIO_FLAG_DTIMEOUT);errorstatus = SD_DATA_TIMEOUT;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_DCRCFAIL) != RESET){SDIO_ClearFlag(SDIO_FLAG_DCRCFAIL);errorstatus = SD_DATA_CRC_FAIL;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_RXOVERR) != RESET){SDIO_ClearFlag(SDIO_FLAG_RXOVERR);errorstatus = SD_RX_OVERRUN;return(errorstatus);}else if (SDIO_GetFlagStatus(SDIO_FLAG_STBITERR) != RESET){SDIO_ClearFlag(SDIO_FLAG_STBITERR);errorstatus = SD_START_BIT_ERR;return(errorstatus);}/*!< Clear all the static flags */SDIO_ClearFlag(SDIO_STATIC_FLAGS);*(pscr + 1) = ((tempscr[0] & SD_0TO7BITS) << 24) | ((tempscr[0] & SD_8TO15BITS) << 8) | ((tempscr[0] & SD_16TO23BITS) >> 8) | ((tempscr[0] & SD_24TO31BITS) >> 24);*(pscr) = ((tempscr[1] & SD_0TO7BITS) << 24) | ((tempscr[1] & SD_8TO15BITS) << 8) | ((tempscr[1] & SD_16TO23BITS) >> 8) | ((tempscr[1] & SD_24TO31BITS) >> 24);return(errorstatus);
}/*** @brief  Converts the number of bytes in power of two and returns the power.* @param  NumberOfBytes: number of bytes.* @retval None*/
uint8_t convert_from_bytes_to_power_of_two(uint16_t NumberOfBytes)
{uint8_t count = 0;while (NumberOfBytes != 1){NumberOfBytes >>= 1;count++;}return(count);
}/*** @}*//*** @}*//*** @}*//*** @}*//*** @}*/  /******************* (C) COPYRIGHT 2010 STMicroelectronics *****END OF FILE****//*
*********************************************************************************************************
*
*   下面的代码是从另外的文件  stm3210e_eval.c 整合进来的
*
*   Copyright (C), 2015-2016, 安富莱电子 www.armfly.com
*
*********************************************************************************************************
*/
/*** @brief  DeInitializes the SDIO interface.* @param  None* @retval None*/
//void SD_LowLevel_DeInit(void)//注释掉了
//{//  GPIO_InitTypeDef  GPIO_InitStructure;
//
//  /*!< Disable SDIO Clock */
//  SDIO_ClockCmd(DISABLE);
//
//  /*!< Set Power State to OFF */
//  SDIO_SetPowerState(SDIO_PowerState_OFF);
//
//  /*!< DeInitializes the SDIO peripheral */
//  SDIO_DeInit();
//
//  /*!< Disable the SDIO AHB Clock */
//  RCC_AHBPeriphClockCmd(RCC_AHBPeriph_SDIO, DISABLE);
//
//  /*!< Configure PC.08, PC.09, PC.10, PC.11, PC.12 pin: D0, D1, D2, D3, CLK pin */
//  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_8 | GPIO_Pin_9 | GPIO_Pin_10 | GPIO_Pin_11 | GPIO_Pin_12;
//  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF;
//  GPIO_InitStructure.GPIO_OType= GPIO_OType_PP;
//  GPIO_Init(GPIOC, &GPIO_InitStructure);
//
//  /*!< Configure PD.02 CMD line */
//  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2;
//  GPIO_Init(GPIOD, &GPIO_InitStructure);
//}void SDIO_Register_Deinit()
{SDIO->POWER=0x00000000;SDIO->CLKCR=0x00000000;SDIO->ARG=0x00000000;SDIO->CMD=0x00000000;SDIO->DTIMER=0x00000000;SDIO->DLEN=0x00000000;SDIO->DCTRL=0x00000000;SDIO->ICR=0x00C007FF;SDIO->MASK=0x00000000;
}/*** @brief  Initializes the SD Card and put it into StandBy State (Ready for *         data transfer).* @param  None* @retval None*/
void SD_LowLevel_Init(void)
{GPIO_InitTypeDef  GPIO_InitStructure;NVIC_InitTypeDef NVIC_InitStructure;//    SD_Error errorstatus=SD_OK;
//  u8 clkdiv=0;RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOC|RCC_AHB1Periph_GPIOD|RCC_AHB1Periph_DMA2, ENABLE);//使能GPIOC,GPIOD DMA2时钟RCC_APB2PeriphClockCmd(RCC_APB2Periph_SDIO, ENABLE);//SDIO时钟使能RCC_APB2PeriphResetCmd(RCC_APB2Periph_SDIO, ENABLE);//SDIO复位GPIO_InitStructure.GPIO_Pin =GPIO_Pin_8|GPIO_Pin_9|GPIO_Pin_10|GPIO_Pin_11|GPIO_Pin_12;     //PC8,9,10,11,12复用功能输出  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF;//复用功能GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;//100MGPIO_InitStructure.GPIO_OType = GPIO_OType_PP;GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP;//上拉GPIO_Init(GPIOC, &GPIO_InitStructure);// PC8,9,10,11,12复用功能输出GPIO_InitStructure.GPIO_Pin =GPIO_Pin_2;GPIO_Init(GPIOD, &GPIO_InitStructure);//PD2复用功能输出//引脚复用映射设置GPIO_PinAFConfig(GPIOC,GPIO_PinSource8,GPIO_AF_SDIO); //PC8,AF12GPIO_PinAFConfig(GPIOC,GPIO_PinSource9,GPIO_AF_SDIO);GPIO_PinAFConfig(GPIOC,GPIO_PinSource10,GPIO_AF_SDIO);GPIO_PinAFConfig(GPIOC,GPIO_PinSource11,GPIO_AF_SDIO);GPIO_PinAFConfig(GPIOC,GPIO_PinSource12,GPIO_AF_SDIO); GPIO_PinAFConfig(GPIOD,GPIO_PinSource2,GPIO_AF_SDIO);   RCC_APB2PeriphResetCmd(RCC_APB2Periph_SDIO, DISABLE);//SDIO结束复位//SDIO外设寄存器设置为默认值               SDIO_Register_Deinit();NVIC_InitStructure.NVIC_IRQChannel = SDIO_IRQn;NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority=1;//抢占优先级3NVIC_InitStructure.NVIC_IRQChannelSubPriority =0;        //子优先级3NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;         //IRQ通道使能NVIC_Init(&NVIC_InitStructure);    //根据指定的参数初始化VIC寄存器、
}/*** @brief  Configures the DMA2 Channel4 for SDIO Tx request.* @param  BufferSRC: pointer to the source buffer* @param  BufferSize: buffer size* @retval None*/
void SD_LowLevel_DMA_TxConfig(uint32_t *BufferSRC, uint32_t BufferSize)//注释掉了
{DMA_InitTypeDef SDDMA_InitStructure;DMA_ClearFlag(SD_SDIO_DMA_STREAM, SD_SDIO_DMA_FLAG_FEIF | SD_SDIO_DMA_FLAG_DMEIF | SD_SDIO_DMA_FLAG_TEIF | SD_SDIO_DMA_FLAG_HTIF | SD_SDIO_DMA_FLAG_TCIF);/* DMA2 Stream3  or Stream6 disable */DMA_Cmd(SD_SDIO_DMA_STREAM, DISABLE);/* DMA2 Stream3  or Stream6 Config */DMA_DeInit(SD_SDIO_DMA_STREAM);SDDMA_InitStructure.DMA_Channel = SD_SDIO_DMA_CHANNEL;SDDMA_InitStructure.DMA_PeripheralBaseAddr = (uint32_t)SDIO_FIFO_ADDRESS;SDDMA_InitStructure.DMA_Memory0BaseAddr = (uint32_t)BufferSRC;SDDMA_InitStructure.DMA_DIR = DMA_DIR_MemoryToPeripheral;SDDMA_InitStructure.DMA_BufferSize = 0;SDDMA_InitStructure.DMA_PeripheralInc = DMA_PeripheralInc_Disable;SDDMA_InitStructure.DMA_MemoryInc = DMA_MemoryInc_Enable;SDDMA_InitStructure.DMA_PeripheralDataSize = DMA_PeripheralDataSize_Word;SDDMA_InitStructure.DMA_MemoryDataSize = DMA_MemoryDataSize_Word;SDDMA_InitStructure.DMA_Mode = DMA_Mode_Normal;SDDMA_InitStructure.DMA_Priority = DMA_Priority_VeryHigh;SDDMA_InitStructure.DMA_FIFOMode = DMA_FIFOMode_Enable;SDDMA_InitStructure.DMA_FIFOThreshold = DMA_FIFOThreshold_Full;SDDMA_InitStructure.DMA_MemoryBurst = DMA_MemoryBurst_INC4;SDDMA_InitStructure.DMA_PeripheralBurst = DMA_PeripheralBurst_INC4;DMA_Init(SD_SDIO_DMA_STREAM, &SDDMA_InitStructure);DMA_ITConfig(SD_SDIO_DMA_STREAM, DMA_IT_TC, ENABLE);DMA_FlowControllerConfig(SD_SDIO_DMA_STREAM, DMA_FlowCtrl_Peripheral);/* DMA2 Stream3  or Stream6 enable */DMA_Cmd(SD_SDIO_DMA_STREAM, ENABLE);
}/*** @brief  Configures the DMA2 Channel4 for SDIO Rx request.* @param  BufferDST: pointer to the destination buffer* @param  BufferSize: buffer size* @retval None*/
void SD_LowLevel_DMA_RxConfig(uint32_t *BufferDST, uint32_t BufferSize)//注释掉了
{DMA_InitTypeDef SDDMA_InitStructure;DMA_ClearFlag(SD_SDIO_DMA_STREAM, SD_SDIO_DMA_FLAG_FEIF | SD_SDIO_DMA_FLAG_DMEIF | SD_SDIO_DMA_FLAG_TEIF | SD_SDIO_DMA_FLAG_HTIF | SD_SDIO_DMA_FLAG_TCIF);/* DMA2 Stream3  or Stream6 disable */DMA_Cmd(SD_SDIO_DMA_STREAM, DISABLE);/* DMA2 Stream3 or Stream6 Config */DMA_DeInit(SD_SDIO_DMA_STREAM);SDDMA_InitStructure.DMA_Channel = SD_SDIO_DMA_CHANNEL;SDDMA_InitStructure.DMA_PeripheralBaseAddr = (uint32_t)SDIO_FIFO_ADDRESS;SDDMA_InitStructure.DMA_Memory0BaseAddr = (uint32_t)BufferDST;SDDMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralToMemory;SDDMA_InitStructure.DMA_BufferSize = 0;SDDMA_InitStructure.DMA_PeripheralInc = DMA_PeripheralInc_Disable;SDDMA_InitStructure.DMA_MemoryInc = DMA_MemoryInc_Enable;SDDMA_InitStructure.DMA_PeripheralDataSize = DMA_PeripheralDataSize_Word;SDDMA_InitStructure.DMA_MemoryDataSize = DMA_MemoryDataSize_Word;SDDMA_InitStructure.DMA_Mode = DMA_Mode_Normal;SDDMA_InitStructure.DMA_Priority = DMA_Priority_VeryHigh;SDDMA_InitStructure.DMA_FIFOMode = DMA_FIFOMode_Enable;SDDMA_InitStructure.DMA_FIFOThreshold = DMA_FIFOThreshold_Full;SDDMA_InitStructure.DMA_MemoryBurst = DMA_MemoryBurst_INC4;SDDMA_InitStructure.DMA_PeripheralBurst = DMA_PeripheralBurst_INC4;DMA_Init(SD_SDIO_DMA_STREAM, &SDDMA_InitStructure);DMA_ITConfig(SD_SDIO_DMA_STREAM, DMA_IT_TC, ENABLE);DMA_FlowControllerConfig(SD_SDIO_DMA_STREAM, DMA_FlowCtrl_Peripheral);/* DMA2 Stream3 or Stream6 enable */DMA_Cmd(SD_SDIO_DMA_STREAM, ENABLE);
}/*** @brief  Returns the DMA End Of Transfer Status.* @param  None* @retval DMA SDIO Channel Status.*/
uint32_t SD_DMAEndOfTransferStatus(void)
{//  return (uint32_t)DMA_GetFlagStatus(DMA2_Stream3,DMA_FLAG_TCIF4);return (uint32_t)DMA_GetFlagStatus(SD_SDIO_DMA_STREAM,SD_SDIO_DMA_FLAG_TCIF);   }/*
*********************************************************************************************************
*
*   下面的中断代码是从另外的文件  stm32_it.c 整合进来的。 V4.0.0  21-January-2013
*
*   Copyright (C), 2015-2016, 安富莱电子 www.armfly.com
*
*********************************************************************************************************
*/
/*
*********************************************************************************************************
*   函 数 名: SDIO_Interrupts_Config
*   功能说明: 配置SDIO中断
*   形    参: 无
*   返 回 值: 无
*********************************************************************************************************
*/
void SDIO_Interrupts_Config(void)
{NVIC_InitTypeDef NVIC_InitStructure; // SDIO Interrupt ENABLENVIC_InitStructure.NVIC_IRQChannel = SDIO_IRQn;NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 0;NVIC_InitStructure.NVIC_IRQChannelSubPriority = 0;
NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;
NVIC_Init(&NVIC_InitStructure);
// DMA2 STREAMx Interrupt ENABLENVIC_InitStructure.NVIC_IRQChannel = SD_SDIO_DMA_IRQn;NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 1;
NVIC_Init(&NVIC_InitStructure);       }/*
*********************************************************************************************************
*   函 数 名: SDIO_IRQHandler
*   功能说明: SDIO中断
*   形    参: 无
*   返 回 值: 无
*********************************************************************************************************
*/
void SDIO_IRQHandler(void)
{   SD_ProcessIRQSrc();
}/*
*********************************************************************************************************
*   函 数 名: SD_SDIO_DMA_IRQHANDLER 是 DMA2_Channel4_5_IRQHandler
*   功能说明: SDIO的DMA中断
*   形    参: 无
*   返 回 值: 无
*********************************************************************************************************
*/
void SD_SDIO_DMA_IRQHANDLER(void){SD_ProcessDMAIRQ();  }  /***************************** 安富莱电子 www.armfly.com (END OF FILE) *********************************/

以下是bsp_sdio_sd.h

#ifndef __BSP_SDIO_SD_H_
#define __BSP_SDIO_SD_H_#define SD_DETECT_PIN                    GPIO_Pin_13                 /* PH.13 */
#define SD_DETECT_GPIO_PORT              GPIOH                       /* GPIOH */
#define SD_DETECT_GPIO_CLK               RCC_AHB1Periph_GPIOH#define SDIO_FIFO_ADDRESS                ((uint32_t)0x40012C80)
/** * @brief  SDIO Intialization Frequency (400KHz max)*/
#define SDIO_INIT_CLK_DIV                ((uint8_t)0x76)
/** * @brief  SDIO Data Transfer Frequency (25MHz max) */
#define SDIO_TRANSFER_CLK_DIV            ((uint8_t)0x0) #define SD_SDIO_DMA                   DMA2
#define SD_SDIO_DMA_CLK               RCC_AHB1Periph_DMA2#define SD_SDIO_DMA_STREAM3              3
//#define SD_SDIO_DMA_STREAM6           6#ifdef SD_SDIO_DMA_STREAM3#define SD_SDIO_DMA_STREAM            DMA2_Stream3#define SD_SDIO_DMA_CHANNEL           DMA_Channel_4#define SD_SDIO_DMA_FLAG_FEIF         DMA_FLAG_FEIF3#define SD_SDIO_DMA_FLAG_DMEIF        DMA_FLAG_DMEIF3#define SD_SDIO_DMA_FLAG_TEIF         DMA_FLAG_TEIF3#define SD_SDIO_DMA_FLAG_HTIF         DMA_FLAG_HTIF3#define SD_SDIO_DMA_FLAG_TCIF         DMA_FLAG_TCIF3#define SD_SDIO_DMA_IRQn              DMA2_Stream3_IRQn#define SD_SDIO_DMA_IRQHANDLER        DMA2_Stream3_IRQHandler  #define HLISR                            LISR
#elif defined SD_SDIO_DMA_STREAM6#define SD_SDIO_DMA_STREAM            DMA2_Stream6#define SD_SDIO_DMA_CHANNEL           DMA_Channel_4#define SD_SDIO_DMA_FLAG_FEIF         DMA_FLAG_FEIF6#define SD_SDIO_DMA_FLAG_DMEIF        DMA_FLAG_DMEIF6#define SD_SDIO_DMA_FLAG_TEIF         DMA_FLAG_TEIF6#define SD_SDIO_DMA_FLAG_HTIF         DMA_FLAG_HTIF6#define SD_SDIO_DMA_FLAG_TCIF         DMA_FLAG_TCIF6#define SD_SDIO_DMA_IRQn              DMA2_Stream6_IRQn#define SD_SDIO_DMA_IRQHANDLER        DMA2_Stream6_IRQHandler  #define HLISR                          HISR
#endif /* SD_SDIO_DMA_STREAM3 *//********************************************************************************* @file    stm3210e_eval_sdio_sd.h* @author  MCD Application Team* @version V5.1.0* @date    18-January-2013* @brief   This file contains all the functions prototypes for the SD Card *          stm3210e_eval_sdio_sd driver firmware library.******************************************************************************* @attention** <h2><center>&copy; COPYRIGHT 2013 STMicroelectronics</center></h2>** Licensed under MCD-ST Liberty SW License Agreement V2, (the "License");* You may not use this file except in compliance with the License.* You may obtain a copy of the License at:**        http://www.st.com/software_license_agreement_liberty_v2** Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.* See the License for the specific language governing permissions and* limitations under the License.********************************************************************************//* Define to prevent recursive inclusion -------------------------------------*/
#ifndef __STM3210E_EVAL_SDIO_SD_H
#define __STM3210E_EVAL_SDIO_SD_H#ifdef __cplusplusextern "C" {#endif/* Includes ------------------------------------------------------------------*/
//#include "stm3210e_eval.h"/** @addtogroup Utilities* @{*//** @addtogroup STM32_EVAL* @{*/ /** @addtogroup STM3210E_EVAL* @{*//** @addtogroup STM3210E_EVAL_SDIO_SD* @{*/  /** @defgroup STM3210E_EVAL_SDIO_SD_Exported_Types* @{*/ typedef enum
{/** * @brief  SDIO specific error defines  */   SD_CMD_CRC_FAIL                    = (1), /*!< Command response received (but CRC check failed) */SD_DATA_CRC_FAIL                   = (2), /*!< Data bock sent/received (CRC check Failed) */SD_CMD_RSP_TIMEOUT                 = (3), /*!< Command response timeout */SD_DATA_TIMEOUT                    = (4), /*!< Data time out */SD_TX_UNDERRUN                     = (5), /*!< Transmit FIFO under-run */SD_RX_OVERRUN                      = (6), /*!< Receive FIFO over-run */SD_START_BIT_ERR                   = (7), /*!< Start bit not detected on all data signals in widE bus mode */SD_CMD_OUT_OF_RANGE                = (8), /*!< CMD's argument was out of range.*/SD_ADDR_MISALIGNED                 = (9), /*!< Misaligned address */SD_BLOCK_LEN_ERR                   = (10), /*!< Transferred block length is not allowed for the card or the number of transferred bytes does not match the block length */SD_ERASE_SEQ_ERR                   = (11), /*!< An error in the sequence of erase command occurs.*/SD_BAD_ERASE_PARAM                 = (12), /*!< An Invalid selection for erase groups */SD_WRITE_PROT_VIOLATION            = (13), /*!< Attempt to program a write protect block */SD_LOCK_UNLOCK_FAILED              = (14), /*!< Sequence or password error has been detected in unlock command or if there was an attempt to access a locked card */SD_COM_CRC_FAILED                  = (15), /*!< CRC check of the previous command failed */SD_ILLEGAL_CMD                     = (16), /*!< Command is not legal for the card state */SD_CARD_ECC_FAILED                 = (17), /*!< Card internal ECC was applied but failed to correct the data */SD_CC_ERROR                        = (18), /*!< Internal card controller error */SD_GENERAL_UNKNOWN_ERROR           = (19), /*!< General or Unknown error */SD_STREAM_READ_UNDERRUN            = (20), /*!< The card could not sustain data transfer in stream read operation. */SD_STREAM_WRITE_OVERRUN            = (21), /*!< The card could not sustain data programming in stream mode */SD_CID_CSD_OVERWRITE               = (22), /*!< CID/CSD overwrite error */SD_WP_ERASE_SKIP                   = (23), /*!< only partial address space was erased */SD_CARD_ECC_DISABLED               = (24), /*!< Command has been executed without using internal ECC */SD_ERASE_RESET                     = (25), /*!< Erase sequence was cleared before executing because an out of erase sequence command was received */SD_AKE_SEQ_ERROR                   = (26), /*!< Error in sequence of authentication. */SD_INVALID_VOLTRANGE               = (27),SD_ADDR_OUT_OF_RANGE               = (28),SD_SWITCH_ERROR                    = (29),SD_SDIO_DISABLED                   = (30),SD_SDIO_FUNCTION_BUSY              = (31),SD_SDIO_FUNCTION_FAILED            = (32),SD_SDIO_UNKNOWN_FUNCTION           = (33),/** * @brief  Standard error defines   */ SD_INTERNAL_ERROR, SD_NOT_CONFIGURED,SD_REQUEST_PENDING, SD_REQUEST_NOT_APPLICABLE, SD_INVALID_PARAMETER,  SD_UNSUPPORTED_FEATURE,  SD_UNSUPPORTED_HW,  SD_ERROR,  SD_OK = 0
} SD_Error;/** * @brief  SDIO Transfer state  */
typedef enum
{SD_TRANSFER_OK  = 0,SD_TRANSFER_BUSY = 1,SD_TRANSFER_ERROR
} SDTransferState;/** * @brief  SD Card States */
typedef enum
{SD_CARD_READY                  = ((uint32_t)0x00000001),SD_CARD_IDENTIFICATION         = ((uint32_t)0x00000002),SD_CARD_STANDBY                = ((uint32_t)0x00000003),SD_CARD_TRANSFER               = ((uint32_t)0x00000004),SD_CARD_SENDING                = ((uint32_t)0x00000005),SD_CARD_RECEIVING              = ((uint32_t)0x00000006),SD_CARD_PROGRAMMING            = ((uint32_t)0x00000007),SD_CARD_DISCONNECTED           = ((uint32_t)0x00000008),SD_CARD_ERROR                  = ((uint32_t)0x000000FF)
}SDCardState;/** * @brief  Card Specific Data: CSD Register   */
typedef struct
{__IO uint8_t  CSDStruct;            /*!< CSD structure */__IO uint8_t  SysSpecVersion;       /*!< System specification version */__IO uint8_t  Reserved1;            /*!< Reserved */__IO uint8_t  TAAC;                 /*!< Data read access-time 1 */__IO uint8_t  NSAC;                 /*!< Data read access-time 2 in CLK cycles */__IO uint8_t  MaxBusClkFrec;        /*!< Max. bus clock frequency */__IO uint16_t CardComdClasses;      /*!< Card command classes */__IO uint8_t  RdBlockLen;           /*!< Max. read data block length */__IO uint8_t  PartBlockRead;        /*!< Partial blocks for read allowed */__IO uint8_t  WrBlockMisalign;      /*!< Write block misalignment */__IO uint8_t  RdBlockMisalign;      /*!< Read block misalignment */__IO uint8_t  DSRImpl;              /*!< DSR implemented */__IO uint8_t  Reserved2;            /*!< Reserved */__IO uint32_t DeviceSize;           /*!< Device Size */__IO uint8_t  MaxRdCurrentVDDMin;   /*!< Max. read current @ VDD min */__IO uint8_t  MaxRdCurrentVDDMax;   /*!< Max. read current @ VDD max */__IO uint8_t  MaxWrCurrentVDDMin;   /*!< Max. write current @ VDD min */__IO uint8_t  MaxWrCurrentVDDMax;   /*!< Max. write current @ VDD max */__IO uint8_t  DeviceSizeMul;        /*!< Device size multiplier */__IO uint8_t  EraseGrSize;          /*!< Erase group size */__IO uint8_t  EraseGrMul;           /*!< Erase group size multiplier */__IO uint8_t  WrProtectGrSize;      /*!< Write protect group size */__IO uint8_t  WrProtectGrEnable;    /*!< Write protect group enable */__IO uint8_t  ManDeflECC;           /*!< Manufacturer default ECC */__IO uint8_t  WrSpeedFact;          /*!< Write speed factor */__IO uint8_t  MaxWrBlockLen;        /*!< Max. write data block length */__IO uint8_t  WriteBlockPaPartial;  /*!< Partial blocks for write allowed */__IO uint8_t  Reserved3;            /*!< Reserded */__IO uint8_t  ContentProtectAppli;  /*!< Content protection application */__IO uint8_t  FileFormatGrouop;     /*!< File format group */__IO uint8_t  CopyFlag;             /*!< Copy flag (OTP) */__IO uint8_t  PermWrProtect;        /*!< Permanent write protection */__IO uint8_t  TempWrProtect;        /*!< Temporary write protection */__IO uint8_t  FileFormat;           /*!< File Format */__IO uint8_t  ECC;                  /*!< ECC code */__IO uint8_t  CSD_CRC;              /*!< CSD CRC */__IO uint8_t  Reserved4;            /*!< always 1*/
} SD_CSD;/** * @brief  Card Identification Data: CID Register   */
typedef struct
{__IO uint8_t  ManufacturerID;       /*!< ManufacturerID */__IO uint16_t OEM_AppliID;          /*!< OEM/Application ID */__IO uint32_t ProdName1;            /*!< Product Name part1 */__IO uint8_t  ProdName2;            /*!< Product Name part2*/__IO uint8_t  ProdRev;              /*!< Product Revision */__IO uint32_t ProdSN;               /*!< Product Serial Number */__IO uint8_t  Reserved1;            /*!< Reserved1 */__IO uint16_t ManufactDate;         /*!< Manufacturing Date */__IO uint8_t  CID_CRC;              /*!< CID CRC */__IO uint8_t  Reserved2;            /*!< always 1 */
} SD_CID;/** * @brief SD Card Status */
typedef struct
{__IO uint8_t DAT_BUS_WIDTH;__IO uint8_t SECURED_MODE;__IO uint16_t SD_CARD_TYPE;__IO uint32_t SIZE_OF_PROTECTED_AREA;__IO uint8_t SPEED_CLASS;__IO uint8_t PERFORMANCE_MOVE;__IO uint8_t AU_SIZE;__IO uint16_t ERASE_SIZE;__IO uint8_t ERASE_TIMEOUT;__IO uint8_t ERASE_OFFSET;
} SD_CardStatus;/** * @brief SD Card information */
typedef struct
{SD_CSD SD_csd;SD_CID SD_cid;uint64_t CardCapacity;  /*!< Card Capacity */uint32_t CardBlockSize; /*!< Card Block Size */uint16_t RCA;uint8_t CardType;
} SD_CardInfo;/*** @}*//** @defgroup STM3210E_EVAL_SDIO_SD_Exported_Constants* @{*/ /** * @brief SDIO Commands  Index */
#define SD_CMD_GO_IDLE_STATE                       ((uint8_t)0)
#define SD_CMD_SEND_OP_COND                        ((uint8_t)1)
#define SD_CMD_ALL_SEND_CID                        ((uint8_t)2)
#define SD_CMD_SET_REL_ADDR                        ((uint8_t)3) /*!< SDIO_SEND_REL_ADDR for SD Card */
#define SD_CMD_SET_DSR                             ((uint8_t)4)
#define SD_CMD_SDIO_SEN_OP_COND                    ((uint8_t)5)
#define SD_CMD_HS_SWITCH                           ((uint8_t)6)
#define SD_CMD_SEL_DESEL_CARD                      ((uint8_t)7)
#define SD_CMD_HS_SEND_EXT_CSD                     ((uint8_t)8)
#define SD_CMD_SEND_CSD                            ((uint8_t)9)
#define SD_CMD_SEND_CID                            ((uint8_t)10)
#define SD_CMD_READ_DAT_UNTIL_STOP                 ((uint8_t)11) /*!< SD Card doesn't support it */
#define SD_CMD_STOP_TRANSMISSION                   ((uint8_t)12)
#define SD_CMD_SEND_STATUS                         ((uint8_t)13)
#define SD_CMD_HS_BUSTEST_READ                     ((uint8_t)14)
#define SD_CMD_GO_INACTIVE_STATE                   ((uint8_t)15)
#define SD_CMD_SET_BLOCKLEN                        ((uint8_t)16)
#define SD_CMD_READ_SINGLE_BLOCK                   ((uint8_t)17)
#define SD_CMD_READ_MULT_BLOCK                     ((uint8_t)18)
#define SD_CMD_HS_BUSTEST_WRITE                    ((uint8_t)19)
#define SD_CMD_WRITE_DAT_UNTIL_STOP                ((uint8_t)20) /*!< SD Card doesn't support it */
#define SD_CMD_SET_BLOCK_COUNT                     ((uint8_t)23) /*!< SD Card doesn't support it */
#define SD_CMD_WRITE_SINGLE_BLOCK                  ((uint8_t)24)
#define SD_CMD_WRITE_MULT_BLOCK                    ((uint8_t)25)
#define SD_CMD_PROG_CID                            ((uint8_t)26) /*!< reserved for manufacturers */
#define SD_CMD_PROG_CSD                            ((uint8_t)27)
#define SD_CMD_SET_WRITE_PROT                      ((uint8_t)28)
#define SD_CMD_CLR_WRITE_PROT                      ((uint8_t)29)
#define SD_CMD_SEND_WRITE_PROT                     ((uint8_t)30)
#define SD_CMD_SD_ERASE_GRP_START                  ((uint8_t)32) /*!< To set the address of the first writeblock to be erased. (For SD card only) */
#define SD_CMD_SD_ERASE_GRP_END                    ((uint8_t)33) /*!< To set the address of the last write block of thecontinuous range to be erased. (For SD card only) */
#define SD_CMD_ERASE_GRP_START                     ((uint8_t)35) /*!< To set the address of the first write block to be erased.(For MMC card only spec 3.31) */#define SD_CMD_ERASE_GRP_END                       ((uint8_t)36) /*!< To set the address of the last write block of thecontinuous range to be erased. (For MMC card only spec 3.31) */#define SD_CMD_ERASE                               ((uint8_t)38)
#define SD_CMD_FAST_IO                             ((uint8_t)39) /*!< SD Card doesn't support it */
#define SD_CMD_GO_IRQ_STATE                        ((uint8_t)40) /*!< SD Card doesn't support it */
#define SD_CMD_LOCK_UNLOCK                         ((uint8_t)42)
#define SD_CMD_APP_CMD                             ((uint8_t)55)
#define SD_CMD_GEN_CMD                             ((uint8_t)56)
#define SD_CMD_NO_CMD                              ((uint8_t)64)/** * @brief Following commands are SD Card Specific commands.*        SDIO_APP_CMD should be sent before sending these commands. */
#define SD_CMD_APP_SD_SET_BUSWIDTH                 ((uint8_t)6)  /*!< For SD Card only */
#define SD_CMD_SD_APP_STAUS                        ((uint8_t)13) /*!< For SD Card only */
#define SD_CMD_SD_APP_SEND_NUM_WRITE_BLOCKS        ((uint8_t)22) /*!< For SD Card only */
#define SD_CMD_SD_APP_OP_COND                      ((uint8_t)41) /*!< For SD Card only */
#define SD_CMD_SD_APP_SET_CLR_CARD_DETECT          ((uint8_t)42) /*!< For SD Card only */
#define SD_CMD_SD_APP_SEND_SCR                     ((uint8_t)51) /*!< For SD Card only */
#define SD_CMD_SDIO_RW_DIRECT                      ((uint8_t)52) /*!< For SD I/O Card only */
#define SD_CMD_SDIO_RW_EXTENDED                    ((uint8_t)53) /*!< For SD I/O Card only *//** * @brief Following commands are SD Card Specific security commands.*        SDIO_APP_CMD should be sent before sending these commands. */
#define SD_CMD_SD_APP_GET_MKB                      ((uint8_t)43) /*!< For SD Card only */
#define SD_CMD_SD_APP_GET_MID                      ((uint8_t)44) /*!< For SD Card only */
#define SD_CMD_SD_APP_SET_CER_RN1                  ((uint8_t)45) /*!< For SD Card only */
#define SD_CMD_SD_APP_GET_CER_RN2                  ((uint8_t)46) /*!< For SD Card only */
#define SD_CMD_SD_APP_SET_CER_RES2                 ((uint8_t)47) /*!< For SD Card only */
#define SD_CMD_SD_APP_GET_CER_RES1                 ((uint8_t)48) /*!< For SD Card only */
#define SD_CMD_SD_APP_SECURE_READ_MULTIPLE_BLOCK   ((uint8_t)18) /*!< For SD Card only */
#define SD_CMD_SD_APP_SECURE_WRITE_MULTIPLE_BLOCK  ((uint8_t)25) /*!< For SD Card only */
#define SD_CMD_SD_APP_SECURE_ERASE                 ((uint8_t)38) /*!< For SD Card only */
#define SD_CMD_SD_APP_CHANGE_SECURE_AREA           ((uint8_t)49) /*!< For SD Card only */
#define SD_CMD_SD_APP_SECURE_WRITE_MKB             ((uint8_t)48) /*!< For SD Card only *//* Uncomment the following line to select the SDIO Data transfer mode */
#if !defined (SD_DMA_MODE) && !defined (SD_POLLING_MODE)
#define SD_DMA_MODE                                ((uint32_t)0x00000000)
//#define SD_POLLING_MODE                            ((uint32_t)0x00000002)
#endif/*** @brief  SD detection on its memory slot*/
#define SD_PRESENT                                 ((uint8_t)0x01)
#define SD_NOT_PRESENT                             ((uint8_t)0x00)/** * @brief Supported SD Memory Cards */
#define SDIO_STD_CAPACITY_SD_CARD_V1_1             ((uint32_t)0x00000000)
#define SDIO_STD_CAPACITY_SD_CARD_V2_0             ((uint32_t)0x00000001)
#define SDIO_HIGH_CAPACITY_SD_CARD                 ((uint32_t)0x00000002)
#define SDIO_MULTIMEDIA_CARD                       ((uint32_t)0x00000003)
#define SDIO_SECURE_DIGITAL_IO_CARD                ((uint32_t)0x00000004)
#define SDIO_HIGH_SPEED_MULTIMEDIA_CARD            ((uint32_t)0x00000005)
#define SDIO_SECURE_DIGITAL_IO_COMBO_CARD          ((uint32_t)0x00000006)
#define SDIO_HIGH_CAPACITY_MMC_CARD                ((uint32_t)0x00000007)/*** @}*/ /** @defgroup STM3210E_EVAL_SDIO_SD_Exported_Macros* @{*/
/*** @}*/ /** @defgroup STM3210E_EVAL_SDIO_SD_Exported_Functions* @{*/
void SD_DeInit(void);
SD_Error SD_Init(void);
SDTransferState SD_GetStatus(void);
SDCardState SD_GetState(void);
uint8_t SD_Detect(void);
SD_Error SD_PowerON(void);
SD_Error SD_PowerOFF(void);
SD_Error SD_InitializeCards(void);
SD_Error SD_GetCardInfo(SD_CardInfo *cardinfo);
SD_Error SD_GetCardStatus(SD_CardStatus *cardstatus);
SD_Error SD_EnableWideBusOperation(uint32_t WideMode);
SD_Error SD_SelectDeselect(uint32_t addr);
SD_Error SD_ReadBlock(uint8_t *readbuff, uint64_t ReadAddr, uint16_t BlockSize);
SD_Error SD_ReadMultiBlocks(uint8_t *readbuff, uint64_t ReadAddr, uint16_t BlockSize, uint32_t NumberOfBlocks);
SD_Error SD_WriteBlock(uint8_t *writebuff, uint64_t WriteAddr, uint16_t BlockSize);
SD_Error SD_WriteMultiBlocks(uint8_t *writebuff, uint64_t WriteAddr, uint16_t BlockSize, uint32_t NumberOfBlocks);
SDTransferState SD_GetTransferState(void);
SD_Error SD_StopTransfer(void);
SD_Error SD_Erase(uint32_t startaddr, uint32_t endaddr);
SD_Error SD_SendStatus(uint32_t *pcardstatus);
SD_Error SD_SendSDStatus(uint32_t *psdstatus);
SD_Error SD_ProcessIRQSrc(void);
void SD_ProcessDMAIRQ(void);
SD_Error SD_WaitReadOperation(void);
SD_Error SD_WaitWriteOperation(void);
void SDIO_Interrupts_Config(void);#ifdef __cplusplus
}
#endif#endif /* __STM3210E_EVAL_SDIO_SD_H */
/*** @}*//*** @}*//*** @}*//*** @}*/ /*** @}*/ /************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/void SD_LowLevel_DeInit(void);
void SD_LowLevel_Init(void);
void SD_LowLevel_DMA_TxConfig(uint32_t *BufferSRC, uint32_t BufferSize);
void SD_LowLevel_DMA_RxConfig(uint32_t *BufferDST, uint32_t BufferSize);
uint32_t SD_DMAEndOfTransferStatus(void);extern SD_CardInfo SDCardInfo;/***************************** 安富莱电子 www.armfly.com (END OF FILE) *********************************/
#endif

以下是main文件

#include "AppLib.h"
int8u bbb[512];
u8 a;
u8 b;
int8u ccc[512];FATFS fslogic[2];     //逻辑磁盘工作区.void delay_ms(u16 time)
{    u16 i=0;  while(time--){i=12000;  //自己定义while(i--) ;    }
}//向SD卡(SDIO)写文件
FRESULT SD_SDIO_Write(void)
{FRESULT res;                      /* FatFs函数返回值 */FIL fil;uint32_t byteswritten;           /* 文件读写的数量 */uint8_t wtext1[] = "This is STM32 working with FatFs!"; /* 写文件缓冲器 */uint8_t wtext2[] = "这是一个FatFs读写的例子!"; /* 写文件缓冲器 */uint8_t wtext3[] = "这是一个向文件追加数据的测试!"; /* 写文件缓冲器 *//* 注册文件系统对象到FatFs模块 SD_SDIO为逻辑磁盘0*/if( (res=f_mount(&fslogic[0], "0:", 1)) != FR_OK){/* 错误处理 */return res;}//  else//  {/* 打开一个文件 */if(f_open(&fil, "0:STM32_SD_SDIO.TXT",  FA_CREATE_ALWAYS | FA_READ | FA_WRITE) != FR_OK) {/* 错误处理 */return res;}else{//      delay_ms(100);res = f_write(&fil, wtext1, sizeof(wtext1), (void *)&byteswritten);res = f_write(&fil, "\r\n", sizeof("\r\n")-1, &byteswritten); res = f_write(&fil, wtext2, sizeof(wtext2), (void *)&byteswritten);res = f_write(&fil, wtext3, sizeof(wtext3), (void *)&byteswritten);res = f_write(&fil, "\r\n", sizeof("\r\n")-1, &byteswritten);f_close(&fil);return res;}}//向SD卡(SPI)写文件
FRESULT SD_SPI_Write(void)
{FRESULT res;                      /* FatFs函数返回值 */FIL fil;uint32_t byteswritten;           /* 文件读写的数量 */uint8_t wtext1[] = "This is STM32 working with FatFs!"; /* 写文件缓冲器 */uint8_t wtext2[] = "这是一个FatFs读写的例子!"; /* 写文件缓冲器 */uint8_t wtext3[] = "这是一个向文件追加数据的测试!"; /* 写文件缓冲器 *//* 注册文件系统对象到FatFs模块 ,SD_SPI为逻辑磁盘1*/if((res=f_mount(&fslogic[1], "1:", 1)) != FR_OK){/* 错误处理 */return res;}//  else//  {/* 打开一个文件 */if(f_open(&fil, "1:STM32_SD_SPI.TXT",  FA_CREATE_ALWAYS | FA_READ | FA_WRITE) != FR_OK) {/* 错误处理 */return res;}else{//      delay_ms(100);res = f_write(&fil, wtext1, sizeof(wtext1), (void *)&byteswritten);res = f_write(&fil, "\r\n", sizeof("\r\n")-1, &byteswritten); res = f_write(&fil, wtext2, sizeof(wtext2), (void *)&byteswritten);res = f_write(&fil, wtext3, sizeof(wtext3), (void *)&byteswritten);res = f_write(&fil, "\r\n", sizeof("\r\n")-1, &byteswritten);f_close(&fil);return res;}}int main(void)
{SystemInit();              //时钟初始化SD_Init();SD_Init_SPI();vSdInit();delay_ms(100);SD_SDIO_Write();SD_SPI_Write();while (1){delay_ms(20);  }
}

以下是SPI方式的驱动。代码 和官方差不多,不同的是在read和write函数里加了超时机制,多读几次,因为第一次有可能返回不正确。

/********************************************************************************* @file    stm32_eval_spi_sd.c* @author  MCD Application Team* @version V4.5.0* @date    07-March-2011* @brief   This file provides a set of functions needed to manage the SPI SD *          Card memory mounted on STM32xx-EVAL board (refer to stm32_eval.h*          to know about the boards supporting this memory). *          It implements a high level communication layer for read and write *          from/to this memory. The needed STM32 hardware resources (SPI and *          GPIO) are defined in stm32xx_eval.h file, and the initialization is *          performed in SD_LowLevel_Init() function declared in stm32xx_eval.c *          file.*          You can easily tailor this driver to any other development board, *          by just adapting the defines for hardware resources and *          SD_LowLevel_Init() function.*            *          +-------------------------------------------------------+*          |                     Pin assignment                    |*          +-------------------------+---------------+-------------+*          |  STM32 SPI Pins         |     SD        |    Pin      |*          +-------------------------+---------------+-------------+*          | SD_SPI_CS_PIN           |   ChipSelect  |    1        |*          | SD_SPI_MOSI_PIN / MOSI  |   DataIn      |    2        |*          |                         |   GND         |    3 (0 V)  |*          |                         |   VDD         |    4 (3.3 V)|*          | SD_SPI_SCK_PIN / SCLK   |   Clock       |    5        |*          |                         |   GND         |    6 (0 V)  |*          | SD_SPI_MISO_PIN / MISO  |   DataOut     |    7        |*          +-------------------------+---------------+-------------+******************************************************************************* @attention** THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS* WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE* TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY* DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING* FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE* CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.** <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>******************************************************************************  *//* Includes ------------------------------------------------------------------*/
//#include "stm32_eval_spi_sd.h"
#include "AppLib.h"
u8 flag_SDHC = 0;  //添加了判断是不是高容量卡/** @addtogroup Utilities* @{*//** @addtogroup STM32_EVAL* @{*/ /** @addtogroup Common* @{*//** @addtogroup STM32_EVAL_SPI_SD* @brief      This file includes the SD card driver of STM32-EVAL boards.* @{*/ /** @defgroup STM32_EVAL_SPI_SD_Private_Types* @{*/
/*** @}*/ /** @defgroup STM32_EVAL_SPI_SD_Private_Defines* @{*/
/*** @}*/ /** @defgroup STM32_EVAL_SPI_SD_Private_Macros* @{*/
/*** @}*/ /** @defgroup STM32_EVAL_SPI_SD_Private_Variables* @{*/
/*** @}*/ /** @defgroup STM32_EVAL_SPI_SD_Private_Function_Prototypes* @{*/
/*** @}*/ /** @defgroup STM32_EVAL_SPI_SD_Private_Functions* @{*/ /*** @brief  DeInitializes the SD/SD communication.* @param  None* @retval None*/
void SD_DeInit_SPI(void)
{SD_LowLevel_DeInit();
}/*** @brief  Initializes the SD/SD communication.* @param  None* @retval The SD Response: *         - SD_RESPONSE_FAILURE: Sequence failed*         - SD_RESPONSE_NO_ERROR: Sequence succeed*/
SD_Error_SPI SD_Init_SPI(void)
{uint32_t TimeOut, i = 0;SD_Error_SPI Status;/*!< Initialize SD_SPI */SD_LowLevel_Init_SPI(); SD_SPI_SetSpeedLow(); //设置SPI速度为400Kdo{/*!< SD chip select high */SD_CS_HIGH();/*!< Send dummy byte 0xFF, 10 times with CS high *//*!< Rise CS and MOSI for 80 clocks cycles */for (i = 0; i <= 9; i++){/*!< Send dummy byte 0xFF */SD_WriteByte(SD_DUMMY_BYTE);}Status = SD_GoIdleState();if(TimeOut > 6){break;}TimeOut++;}while(Status);/*------------Put SD in SPI mode--------------*//*!< SD initialized and set to SPI mode properly */SD_SPI_SetSpeedHi();//设置SPI速度为高速return (Status);
}/*** @brief  Detect if SD card is correctly plugged in the memory slot.* @param  None* @retval Return if SD is detected or not*/
uint8_t SD_Detect_SPI(void)
{__IO uint8_t status = SD_PRESENT;/*!< Check GPIO to detect SD */if (GPIO_ReadInputData(SD_DETECT_GPIO_PORT) & SD_DETECT_PIN){status = SD_NOT_PRESENT;}return status;
}/*** @brief  Returns information about specific card.* @param  cardinfo: pointer to a SD_CardInfo structure that contains all SD *         card information.* @retval The SD Response:*         - SD_RESPONSE_FAILURE: Sequence failed*         - SD_RESPONSE_NO_ERROR: Sequence succeed*/
SD_Error_SPI SD_GetCardInfo_SPI(SD_CardInfo *cardinfo)
{SD_Error_SPI status = SD_RESPONSE_FAILURE;status = SD_GetCSDRegister(&(cardinfo->SD_csd));status = SD_GetCIDRegister(&(cardinfo->SD_cid));cardinfo->CardCapacity = (cardinfo->SD_csd.DeviceSize + 1) ;cardinfo->CardCapacity *= (1 << (cardinfo->SD_csd.DeviceSizeMul + 2));cardinfo->CardBlockSize = 1 << (cardinfo->SD_csd.RdBlockLen);cardinfo->CardCapacity *= cardinfo->CardBlockSize;/*!< Returns the reponse */return status;
}/*** @brief  Reads a block of data from the SD.* @param  pBuffer: pointer to the buffer that receives the data read from the *                  SD.* @param  ReadAddr: SD's internal address to read from.* @param  BlockSize: the SD card Data block size.* @retval The SD Response:*         - SD_RESPONSE_FAILURE: Sequence failed*         - SD_RESPONSE_NO_ERROR: Sequence succeed*/
SD_Error_SPI SD_ReadBlock_SPI(uint8_t* pBuffer, uint32_t ReadAddr, uint16_t BlockSize)
{uint32_t i = 0;SD_Error_SPI rvalue = SD_RESPONSE_FAILURE;/*!< SD chip select low */SD_CS_LOW();if(flag_SDHC == 1)  //如果是高容量卡{ReadAddr = ReadAddr/512;}/*!< Send CMD17 (SD_CMD_READ_SINGLE_BLOCK) to read one block */SD_SendCmd(SD_CMD_READ_SINGLE_BLOCK, ReadAddr, 0xFF);/*!< Check if the SD acknowledged the read block command: R1 response (0x00: no errors) */while(SD_GetResponse(SD_RESPONSE_NO_ERROR))//设置一个超时次数,多读几次{SD_SendCmd(SD_CMD_READ_SINGLE_BLOCK, ReadAddr, 0xFF);i++;if(i > 800)  break;  //超时次数} if (i <= 800){/*!< Now look for the data token to signify the start of the data */if (!SD_GetResponse(SD_START_DATA_SINGLE_BLOCK_READ)){/*!< Read the SD block data : read NumByteToRead data */for (i = 0; i < BlockSize; i++){/*!< Save the received data */*pBuffer = SD_ReadByte();/*!< Point to the next location where the byte read will be saved */pBuffer++;}/*!< Get CRC bytes (not really needed by us, but required by SD) */SD_ReadByte();SD_ReadByte();/*!< Set response value to success */rvalue = SD_RESPONSE_NO_ERROR;}}/*!< SD chip select high */SD_CS_HIGH();/*!< Send dummy byte: 8 Clock pulses of delay */SD_WriteByte(SD_DUMMY_BYTE);/*!< Returns the reponse */return rvalue;
}/*** @brief  Reads multiple block of data from the SD.* @param  pBuffer: pointer to the buffer that receives the data read from the *                  SD.* @param  ReadAddr: SD's internal address to read from.* @param  BlockSize: the SD card Data block size.* @param  NumberOfBlocks: number of blocks to be read.* @retval The SD Response:*         - SD_RESPONSE_FAILURE: Sequence failed*         - SD_RESPONSE_NO_ERROR: Sequence succeed*/
SD_Error_SPI SD_ReadMultiBlocks_SPI(uint8_t* pBuffer, uint32_t ReadAddr, uint16_t BlockSize, uint32_t NumberOfBlocks)
{uint32_t i = 0, Offset = 0;SD_Error_SPI rvalue = SD_RESPONSE_FAILURE;/*!< SD chip select low */SD_CS_LOW();/*!< Data transfer */while (NumberOfBlocks--){/*!< Send CMD17 (SD_CMD_READ_SINGLE_BLOCK) to read one block */if(flag_SDHC == 1) //高容量卡{SD_SendCmd (SD_CMD_READ_SINGLE_BLOCK,(ReadAddr + Offset)/512, 0xFF);}else{SD_SendCmd (SD_CMD_READ_SINGLE_BLOCK, ReadAddr + Offset, 0xFF);}/*!< Check if the SD acknowledged the read block command: R1 response (0x00: no errors) */if (SD_GetResponse(SD_RESPONSE_NO_ERROR)){return  SD_RESPONSE_FAILURE;}/*!< Now look for the data token to signify the start of the data */if (!SD_GetResponse(SD_START_DATA_SINGLE_BLOCK_READ)){/*!< Read the SD block data : read NumByteToRead data */for (i = 0; i < BlockSize; i++){/*!< Read the pointed data */*pBuffer = SD_ReadByte();/*!< Point to the next location where the byte read will be saved */pBuffer++;}/*!< Set next read address*/Offset += 512;/*!< get CRC bytes (not really needed by us, but required by SD) */SD_ReadByte();SD_ReadByte();/*!< Set response value to success */rvalue = SD_RESPONSE_NO_ERROR;}else{/*!< Set response value to failure */rvalue = SD_RESPONSE_FAILURE;}}/*!< SD chip select high */SD_CS_HIGH();/*!< Send dummy byte: 8 Clock pulses of delay */SD_WriteByte(SD_DUMMY_BYTE);/*!< Returns the reponse */return rvalue;
}/*** @brief  Writes a block on the SD* @param  pBuffer: pointer to the buffer containing the data to be written on *                  the SD.* @param  WriteAddr: address to write on.* @param  BlockSize: the SD card Data block size.* @retval The SD Response: *         - SD_RESPONSE_FAILURE: Sequence failed*         - SD_RESPONSE_NO_ERROR: Sequence succeed*/
SD_Error_SPI SD_WriteBlock_SPI(uint8_t* pBuffer, uint32_t WriteAddr, uint16_t BlockSize)
{uint32_t i = 0;SD_Error_SPI rvalue = SD_RESPONSE_FAILURE;/*!< SD chip select low */SD_CS_LOW();if(flag_SDHC == 1)  //高容量卡{WriteAddr = WriteAddr/512;}/*!< Send CMD24 (SD_CMD_WRITE_SINGLE_BLOCK) to write multiple block */SD_SendCmd(SD_CMD_WRITE_SINGLE_BLOCK, WriteAddr, 0xFF);/*!< Check if the SD acknowledged the write block command: R1 response (0x00: no errors) */while(SD_GetResponse(SD_RESPONSE_NO_ERROR)){SD_SendCmd(SD_CMD_WRITE_SINGLE_BLOCK, WriteAddr, 0xFF);i++;if(i > 800) break;  //超时次数} if (i <= 800){/*!< Send a dummy byte */SD_WriteByte(SD_DUMMY_BYTE);/*!< Send the data token to signify the start of the data */SD_WriteByte(0xFE);/*!< Write the block data to SD : write count data by block */for (i = 0; i < BlockSize; i++){/*!< Send the pointed byte */SD_WriteByte(*pBuffer);/*!< Point to the next location where the byte read will be saved */pBuffer++;}/*!< Put CRC bytes (not really needed by us, but required by SD) */SD_ReadByte();SD_ReadByte();/*!< Read data response */if (SD_GetDataResponse() == SD_DATA_OK){rvalue = SD_RESPONSE_NO_ERROR;}}/*!< SD chip select high */SD_CS_HIGH();/*!< Send dummy byte: 8 Clock pulses of delay */SD_WriteByte(SD_DUMMY_BYTE);/*!< Returns the reponse */return rvalue;
}/*** @brief  Writes many blocks on the SD* @param  pBuffer: pointer to the buffer containing the data to be written on *                  the SD.* @param  WriteAddr: address to write on.* @param  BlockSize: the SD card Data block size.* @param  NumberOfBlocks: number of blocks to be written.* @retval The SD Response: *         - SD_RESPONSE_FAILURE: Sequence failed*         - SD_RESPONSE_NO_ERROR: Sequence succeed*/
SD_Error_SPI SD_WriteMultiBlocks_SPI(uint8_t* pBuffer, uint32_t WriteAddr, uint16_t BlockSize, uint32_t NumberOfBlocks)
{uint32_t i = 0, Offset = 0;SD_Error_SPI rvalue = SD_RESPONSE_FAILURE;/*!< SD chip select low */SD_CS_LOW();/*!< Data transfer */while (NumberOfBlocks--){/*!< Send CMD24 (SD_CMD_WRITE_SINGLE_BLOCK) to write blocks */    if(flag_SDHC == 1){SD_SendCmd(SD_CMD_WRITE_SINGLE_BLOCK, (WriteAddr + Offset)/512, 0xFF);}else{SD_SendCmd(SD_CMD_WRITE_SINGLE_BLOCK, WriteAddr + Offset, 0xFF);}    /*!< Check if the SD acknowledged the write block command: R1 response (0x00: no errors) */if (SD_GetResponse(SD_RESPONSE_NO_ERROR)){return SD_RESPONSE_FAILURE;}/*!< Send dummy byte */SD_WriteByte(SD_DUMMY_BYTE);/*!< Send the data token to signify the start of the data */SD_WriteByte(SD_START_DATA_SINGLE_BLOCK_WRITE);/*!< Write the block data to SD : write count data by block */for (i = 0; i < BlockSize; i++){/*!< Send the pointed byte */SD_WriteByte(*pBuffer);/*!< Point to the next location where the byte read will be saved */pBuffer++;}/*!< Set next write address */Offset += 512;/*!< Put CRC bytes (not really needed by us, but required by SD) */SD_ReadByte();SD_ReadByte();/*!< Read data response */if (SD_GetDataResponse() == SD_DATA_OK){/*!< Set response value to success */rvalue = SD_RESPONSE_NO_ERROR;}else{/*!< Set response value to failure */rvalue = SD_RESPONSE_FAILURE;}}/*!< SD chip select high */SD_CS_HIGH();/*!< Send dummy byte: 8 Clock pulses of delay */SD_WriteByte(SD_DUMMY_BYTE);/*!< Returns the reponse */return rvalue;
}/*** @brief  Read the CSD card register.*         Reading the contents of the CSD register in SPI mode is a simple *         read-block transaction.* @param  SD_csd: pointer on an SCD register structure* @retval The SD Response: *         - SD_RESPONSE_FAILURE: Sequence failed*         - SD_RESPONSE_NO_ERROR: Sequence succeed*/
SD_Error_SPI SD_GetCSDRegister(SD_CSD* SD_csd)
{uint32_t i = 0;SD_Error_SPI rvalue = SD_RESPONSE_FAILURE;uint8_t CSD_Tab[16];/*!< SD chip select low */SD_CS_LOW();/*!< Send CMD9 (CSD register) or CMD10(CSD register) */SD_SendCmd(SD_CMD_SEND_CSD, 0, 0xFF);/*!< Wait for response in the R1 format (0x00 is no errors) */if (!SD_GetResponse(SD_RESPONSE_NO_ERROR)){if (!SD_GetResponse(SD_START_DATA_SINGLE_BLOCK_READ)){for (i = 0; i < 16; i++){/*!< Store CSD register value on CSD_Tab */CSD_Tab[i] = SD_ReadByte();}}/*!< Get CRC bytes (not really needed by us, but required by SD) */SD_WriteByte(SD_DUMMY_BYTE);SD_WriteByte(SD_DUMMY_BYTE);/*!< Set response value to success */rvalue = SD_RESPONSE_NO_ERROR;}/*!< SD chip select high */SD_CS_HIGH();/*!< Send dummy byte: 8 Clock pulses of delay */SD_WriteByte(SD_DUMMY_BYTE);/*!< Byte 0 */SD_csd->CSDStruct = (CSD_Tab[0] & 0xC0) >> 6;SD_csd->SysSpecVersion = (CSD_Tab[0] & 0x3C) >> 2;SD_csd->Reserved1 = CSD_Tab[0] & 0x03;/*!< Byte 1 */SD_csd->TAAC = CSD_Tab[1];/*!< Byte 2 */SD_csd->NSAC = CSD_Tab[2];/*!< Byte 3 */SD_csd->MaxBusClkFrec = CSD_Tab[3];/*!< Byte 4 */SD_csd->CardComdClasses = CSD_Tab[4] << 4;/*!< Byte 5 */SD_csd->CardComdClasses |= (CSD_Tab[5] & 0xF0) >> 4;SD_csd->RdBlockLen = CSD_Tab[5] & 0x0F;/*!< Byte 6 */SD_csd->PartBlockRead = (CSD_Tab[6] & 0x80) >> 7;SD_csd->WrBlockMisalign = (CSD_Tab[6] & 0x40) >> 6;SD_csd->RdBlockMisalign = (CSD_Tab[6] & 0x20) >> 5;SD_csd->DSRImpl = (CSD_Tab[6] & 0x10) >> 4;SD_csd->Reserved2 = 0; /*!< Reserved */SD_csd->DeviceSize = (CSD_Tab[6] & 0x03) << 10;/*!< Byte 7 */SD_csd->DeviceSize |= (CSD_Tab[7]) << 2;/*!< Byte 8 */SD_csd->DeviceSize |= (CSD_Tab[8] & 0xC0) >> 6;SD_csd->MaxRdCurrentVDDMin = (CSD_Tab[8] & 0x38) >> 3;SD_csd->MaxRdCurrentVDDMax = (CSD_Tab[8] & 0x07);/*!< Byte 9 */SD_csd->MaxWrCurrentVDDMin = (CSD_Tab[9] & 0xE0) >> 5;SD_csd->MaxWrCurrentVDDMax = (CSD_Tab[9] & 0x1C) >> 2;SD_csd->DeviceSizeMul = (CSD_Tab[9] & 0x03) << 1;/*!< Byte 10 */SD_csd->DeviceSizeMul |= (CSD_Tab[10] & 0x80) >> 7;SD_csd->EraseGrSize = (CSD_Tab[10] & 0x40) >> 6;SD_csd->EraseGrMul = (CSD_Tab[10] & 0x3F) << 1;/*!< Byte 11 */SD_csd->EraseGrMul |= (CSD_Tab[11] & 0x80) >> 7;SD_csd->WrProtectGrSize = (CSD_Tab[11] & 0x7F);/*!< Byte 12 */SD_csd->WrProtectGrEnable = (CSD_Tab[12] & 0x80) >> 7;SD_csd->ManDeflECC = (CSD_Tab[12] & 0x60) >> 5;SD_csd->WrSpeedFact = (CSD_Tab[12] & 0x1C) >> 2;SD_csd->MaxWrBlockLen = (CSD_Tab[12] & 0x03) << 2;/*!< Byte 13 */SD_csd->MaxWrBlockLen |= (CSD_Tab[13] & 0xC0) >> 6;SD_csd->WriteBlockPaPartial = (CSD_Tab[13] & 0x20) >> 5;SD_csd->Reserved3 = 0;SD_csd->ContentProtectAppli = (CSD_Tab[13] & 0x01);/*!< Byte 14 */SD_csd->FileFormatGrouop = (CSD_Tab[14] & 0x80) >> 7;SD_csd->CopyFlag = (CSD_Tab[14] & 0x40) >> 6;SD_csd->PermWrProtect = (CSD_Tab[14] & 0x20) >> 5;SD_csd->TempWrProtect = (CSD_Tab[14] & 0x10) >> 4;SD_csd->FileFormat = (CSD_Tab[14] & 0x0C) >> 2;SD_csd->ECC = (CSD_Tab[14] & 0x03);/*!< Byte 15 */SD_csd->CSD_CRC = (CSD_Tab[15] & 0xFE) >> 1;SD_csd->Reserved4 = 1;/*!< Return the reponse */return rvalue;
}/*** @brief  Read the CID card register.*         Reading the contents of the CID register in SPI mode is a simple *         read-block transaction.* @param  SD_cid: pointer on an CID register structure* @retval The SD Response: *         - SD_RESPONSE_FAILURE: Sequence failed*         - SD_RESPONSE_NO_ERROR: Sequence succeed*/
SD_Error_SPI SD_GetCIDRegister(SD_CID* SD_cid)
{uint32_t i = 0;SD_Error_SPI rvalue = SD_RESPONSE_FAILURE;uint8_t CID_Tab[16];/*!< SD chip select low */SD_CS_LOW();/*!< Send CMD10 (CID register) */SD_SendCmd(SD_CMD_SEND_CID, 0, 0xFF);/*!< Wait for response in the R1 format (0x00 is no errors) */if (!SD_GetResponse(SD_RESPONSE_NO_ERROR)){if (!SD_GetResponse(SD_START_DATA_SINGLE_BLOCK_READ)){/*!< Store CID register value on CID_Tab */for (i = 0; i < 16; i++){CID_Tab[i] = SD_ReadByte();}}/*!< Get CRC bytes (not really needed by us, but required by SD) */SD_WriteByte(SD_DUMMY_BYTE);SD_WriteByte(SD_DUMMY_BYTE);/*!< Set response value to success */rvalue = SD_RESPONSE_NO_ERROR;}/*!< SD chip select high */SD_CS_HIGH();/*!< Send dummy byte: 8 Clock pulses of delay */SD_WriteByte(SD_DUMMY_BYTE);/*!< Byte 0 */SD_cid->ManufacturerID = CID_Tab[0];/*!< Byte 1 */SD_cid->OEM_AppliID = CID_Tab[1] << 8;/*!< Byte 2 */SD_cid->OEM_AppliID |= CID_Tab[2];/*!< Byte 3 */SD_cid->ProdName1 = CID_Tab[3] << 24;/*!< Byte 4 */SD_cid->ProdName1 |= CID_Tab[4] << 16;/*!< Byte 5 */SD_cid->ProdName1 |= CID_Tab[5] << 8;/*!< Byte 6 */SD_cid->ProdName1 |= CID_Tab[6];/*!< Byte 7 */SD_cid->ProdName2 = CID_Tab[7];/*!< Byte 8 */SD_cid->ProdRev = CID_Tab[8];/*!< Byte 9 */SD_cid->ProdSN = CID_Tab[9] << 24;/*!< Byte 10 */SD_cid->ProdSN |= CID_Tab[10] << 16;/*!< Byte 11 */SD_cid->ProdSN |= CID_Tab[11] << 8;/*!< Byte 12 */SD_cid->ProdSN |= CID_Tab[12];/*!< Byte 13 */SD_cid->Reserved1 |= (CID_Tab[13] & 0xF0) >> 4;SD_cid->ManufactDate = (CID_Tab[13] & 0x0F) << 8;/*!< Byte 14 */SD_cid->ManufactDate |= CID_Tab[14];/*!< Byte 15 */SD_cid->CID_CRC = (CID_Tab[15] & 0xFE) >> 1;SD_cid->Reserved2 = 1;/*!< Return the reponse */return rvalue;
}/*** @brief  Send 5 bytes command to the SD card.* @param  Cmd: The user expected command to send to SD card.* @param  Arg: The command argument.* @param  Crc: The CRC.* @retval None*/
void SD_SendCmd(uint8_t Cmd, uint32_t Arg, uint8_t Crc)
{uint32_t i = 0x00;uint8_t Frame[6];Frame[0] = (Cmd | 0x40); /*!< Construct byte 1 */Frame[1] = (uint8_t)(Arg >> 24); /*!< Construct byte 2 */Frame[2] = (uint8_t)(Arg >> 16); /*!< Construct byte 3 */Frame[3] = (uint8_t)(Arg >> 8); /*!< Construct byte 4 */Frame[4] = (uint8_t)(Arg); /*!< Construct byte 5 */Frame[5] = (Crc); /*!< Construct CRC: byte 6 */for (i = 0; i < 6; i++){SD_WriteByte(Frame[i]); /*!< Send the Cmd bytes */}
}/*** @brief  Get SD card data response.* @param  None* @retval The SD status: Read data response xxx0<status>1*         - status 010: Data accecpted*         - status 101: Data rejected due to a crc error*         - status 110: Data rejected due to a Write error.*         - status 111: Data rejected due to other error.*/
uint8_t SD_GetDataResponse(void)
{uint32_t i = 0;uint8_t response, rvalue;while (i <= 64){/*!< Read resonse */response = SD_ReadByte();/*!< Mask unused bits */response &= 0x1F;switch (response){case SD_DATA_OK:{rvalue = SD_DATA_OK;break;}case SD_DATA_CRC_ERROR:return SD_DATA_CRC_ERROR;case SD_DATA_WRITE_ERROR:return SD_DATA_WRITE_ERROR;default:{rvalue = SD_DATA_OTHER_ERROR;break;}}/*!< Exit loop in case of data ok */if (rvalue == SD_DATA_OK)break;/*!< Increment loop counter */i++;}/*!< Wait null data */while (SD_ReadByte() == 0);/*!< Return response */return response;
}/*** @brief  Returns the SD response.* @param  None* @retval The SD Response: *         - SD_RESPONSE_FAILURE: Sequence failed*         - SD_RESPONSE_NO_ERROR: Sequence succeed*/
SD_Error_SPI SD_GetResponse(uint8_t Response)
{uint32_t Count = 0xFFF;/*!< Check if response is got or a timeout is happen */while ((SD_ReadByte() != Response) && Count){Count--;}if (Count == 0){/*!< After time out */return SD_RESPONSE_FAILURE;}else{/*!< Right response got */return SD_RESPONSE_NO_ERROR;}
}/*** @brief  Returns the SD status.* @param  None* @retval The SD status.*/
uint16_t SD_GetStatus_SPI(void)
{uint16_t Status = 0;/*!< SD chip select low */SD_CS_LOW();/*!< Send CMD13 (SD_SEND_STATUS) to get SD status */SD_SendCmd(SD_CMD_SEND_STATUS, 0, 0xFF);Status = SD_ReadByte();Status |= (uint16_t)(SD_ReadByte() << 8);/*!< SD chip select high */SD_CS_HIGH();/*!< Send dummy byte 0xFF */SD_WriteByte(SD_DUMMY_BYTE);return Status;
}/*** @brief  Put SD in Idle state.* @param  None* @retval The SD Response: *         - SD_RESPONSE_FAILURE: Sequence failed*         - SD_RESPONSE_NO_ERROR: Sequence succeed*/
SD_Error_SPI SD_GoIdleState(void)
{uint16_t TimeOut;uint8_t r1;SD_Error_SPI Status ;uint16_t n2,n;/*!< SD chip select low */SD_CS_LOW();/*!< Send CMD0 (SD_CMD_GO_IDLE_STATE) to put SD in SPI mode */SD_SendCmd(SD_CMD_GO_IDLE_STATE, 0, 0x95);/*!< Wait for In Idle State Response (R1 Format) equal to 0x01 */if (SD_GetResponse(SD_IN_IDLE_STATE)){/*!< No Idle State Response: return response failue */return SD_RESPONSE_FAILURE;}// fgSDOn=TRUE;SD_SendCmd(8, 0x1AA, 0x87); /*check version*//*!< Check if response is got or a timeout is happen */TimeOut = 200;while (((r1 = SD_ReadByte()) == 0xFF) && TimeOut){TimeOut--;}#if 0if(TimeOut>0){//    fgSDOn=TRUE;}#endifif(r1 == 0x05) // not SDHC{//flag_SDHC = 0;//    printf("\r\n  this is STD_CAPACITY_SD_CARD!\n");TimeOut = 0;/*----------Activates the card initialization process-----------*/do{/*!< SD chip select high */SD_CS_HIGH();/*!< Send Dummy byte 0xFF */SD_WriteByte(SD_DUMMY_BYTE);/*!< SD chip select low */SD_CS_LOW();/*!< Send CMD1 (Activates the card process) until response equal to 0x0 */SD_SendCmd(SD_CMD_SEND_OP_COND, 0, 0xFF);/*!< Wait for no error Response (R1 Format) equal to 0x00 */TimeOut++;if(TimeOut == 0x00F0){break;}Status = SD_GetResponse(SD_RESPONSE_NO_ERROR);  }while (Status);/*!< SD chip select high */SD_CS_HIGH();/*!< Send dummy byte 0xFF */SD_WriteByte(SD_DUMMY_BYTE);    }else{if(r1 != 1){//    printf("\n\r SD card r1 is %X.\n\r", r1);}//    printf("\r\n this is SDHC: HIGH_CAPACITY_SD_CARD!\n");r1 = 1;/* Send Dummy byte 0xFF */for(n=0; n<5; n++){SD_ReadByte();}/* MSD chip select high */SD_CS_HIGH();SD_WriteByte(SD_DUMMY_BYTE);/* MSD chip select low */SD_CS_LOW();SD_WriteByte(SD_DUMMY_BYTE);SD_WriteByte(SD_DUMMY_BYTE);n=0xff;do{SD_SendCmd(55, 0, 0xFF);for(n2=0; n2<0x08;n2++){r1= SD_ReadByte();if(r1 !=1)n=0;}SD_SendCmd(41, 0x40000000, 0);for(n2=0; n2<0xff;n2++){r1= SD_ReadByte();if(r1 ==0)break;}n--;}while((r1!=0)&&(n>0));if(n==0){Status =  SD_RESPONSE_FAILURE;//    printf("\r\n Activates the SDHC card falled!\n");}else{SD_SendCmd(58, 0, 0);for(n=0;n<5;n++){r1 = SD_ReadByte();    printf("\n\r 58 SD card r1 is %X.\n\r", r1);}flag_SDHC = 1;//    printf("\r\n Activates the SDHC card sucessed!\n");Status =  SD_RESPONSE_NO_ERROR;}}SD_CS_HIGH();return Status;//SD_RESPONSE_NO_ERROR;  }/*** @brief  Write a byte on the SD.* @param  Data: byte to send.* @retval None*/
uint8_t SD_WriteByte(uint8_t Data)
{/*!< Wait until the transmit buffer is empty */while(SPI_I2S_GetFlagStatus(SPI1, SPI_I2S_FLAG_TXE) == RESET){}/*!< Send the byte */SPI_I2S_SendData(SPI1, Data);/*!< Wait to receive a byte*/while(SPI_I2S_GetFlagStatus(SPI1, SPI_I2S_FLAG_RXNE) == RESET){}/*!< Return the byte read from the SPI bus */ return SPI_I2S_ReceiveData(SPI1);
}/*** @brief  Read a byte from the SD.* @param  None* @retval The received byte.*/
uint8_t SD_ReadByte(void)
{uint8_t Data = 0;/*!< Wait until the transmit buffer is empty */while (SPI_I2S_GetFlagStatus(SPI1, SPI_I2S_FLAG_TXE) == RESET){}/*!< Send the byte */SPI_I2S_SendData(SPI1, SD_DUMMY_BYTE);/*!< Wait until a data is received */while (SPI_I2S_GetFlagStatus(SPI1, SPI_I2S_FLAG_RXNE) == RESET){}/*!< Get the received data */Data = SPI_I2S_ReceiveData(SPI1);/*!< Return the shifted data */return Data;
}/*** @}*//*** @}*//*** @}*//*** @}*//*** @}*/  /******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****//*** @brief  DeInitializes the SD/SD communication.* @param  None* @retval None*/
void SD_LowLevel_DeInit_SPI(void)
{GPIO_InitTypeDef  GPIO_InitStructure;SPI_Cmd(SPI1, DISABLE); /*!< SD_SPI disable */SPI_I2S_DeInit(SPI1);   /*!< DeInitializes the SD_SPI *//*!< SD_SPI Periph clock disable *//*!< DeRemap SPI3 Pins *///GPIO_PinRemapConfig(GPIO_Remap_SPI3, DISABLE);  /*!< Configure SD_SPI pins: SCK */GPIO_InitStructure.GPIO_Pin = GPIO_Pin_5;GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN;GPIO_Init(GPIOA, &GPIO_InitStructure);/*!< Configure SD_SPI pins: MISO */GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6;GPIO_Init(GPIOA, &GPIO_InitStructure);/*!< Configure SD_SPI pins: MOSI */GPIO_InitStructure.GPIO_Pin = GPIO_Pin_7;GPIO_Init(GPIOA, &GPIO_InitStructure);/*!< Configure SD_SPI_CS_PIN pin: SD Card CS pin */GPIO_InitStructure.GPIO_Pin = GPIO_Pin_3;GPIO_Init(GPIOA, &GPIO_InitStructure);/*!< Configure SD_SPI_DETECT_PIN pin: SD Card detect pin */GPIO_InitStructure.GPIO_Pin = GPIO_Pin_4;GPIO_Init(GPIOC, &GPIO_InitStructure);
}/*** @brief  Initializes the SD_SPI and CS pins.* @param  None* @retval None*/
void SD_LowLevel_Init_SPI(void)
{GPIO_InitTypeDef  GPIO_InitStructure;SPI_InitTypeDef   SPI_InitStructure;RCC_APB2PeriphClockCmd(RCC_APB2Periph_SPI1, ENABLE);    //打开SPI1时钟RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA, ENABLE);   //打开GPIOA时钟RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOC, ENABLE);   //打开GPIOC时钟GPIO_PinAFConfig(GPIOA, GPIO_PinSource5, GPIO_AF_SPI1); //PB13作为SPI2的SCLK引脚复用GPIO_PinAFConfig(GPIOA, GPIO_PinSource6, GPIO_AF_SPI1); //PB14作为SPI2的MOSI引脚复用GPIO_PinAFConfig(GPIOA, GPIO_PinSource7, GPIO_AF_SPI1); //PB15作为SPI2的MISO引脚复用/*!< Configure SD_SPI pins: SCK */GPIO_InitStructure.GPIO_Pin = GPIO_Pin_5;GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF;GPIO_Init(GPIOA, &GPIO_InitStructure);/*!< Configure SD_SPI pins: MISO */GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6;GPIO_Init(GPIOA, &GPIO_InitStructure);/*!< Configure SD_SPI pins: MOSI */GPIO_InitStructure.GPIO_Pin = GPIO_Pin_7;GPIO_Init(GPIOA, &GPIO_InitStructure);/*!< Configure SD_SPI_CS_PIN pin: SD Card CS pin */GPIO_InitStructure.GPIO_Pin = GPIO_Pin_4;GPIO_InitStructure.GPIO_Mode = GPIO_Mode_OUT;GPIO_Init(GPIOA, &GPIO_InitStructure);//  SD_CS_HIGH();GPIO_SetBits(GPIOA, GPIO_Pin_4);/*!< SD_SPI Config */SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;SPI_InitStructure.SPI_Mode = SPI_Mode_Master;SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;SPI_InitStructure.SPI_CPOL = SPI_CPOL_High;SPI_InitStructure.SPI_CPHA = SPI_CPHA_2Edge;SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_4;SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;SPI_InitStructure.SPI_CRCPolynomial = 7;SPI_Init(SPI1, &SPI_InitStructure);SPI_Cmd(SPI1, ENABLE); /*!< SD_SPI enable */
}//设置读写速度
void SD_SPI_SetSpeed(uint16_t SPI_BaudRatePrescaler)
{if((SPI_BaudRatePrescaler & (uint16_t)0x0038) == SPI_BaudRatePrescaler){SPI1->CR1 = (SPI1->CR1 & (uint16_t)0xFFC7) |SPI_BaudRatePrescaler;SPI_Cmd(SPI1, ENABLE); /*!< SPI1 enable */}
}//256分频
void SD_SPI_SetSpeedLow(void)
{SD_SPI_SetSpeed(SPI_BaudRatePrescaler_256);
}//2分频
void SD_SPI_SetSpeedHi(void)
{SD_SPI_SetSpeed(SPI_BaudRatePrescaler_4);   // jacky test//SD_SPI_SetSpeed(SPI_BaudRatePrescaler_4);   // jacky test
}

以下是SPI方式的头文件。与SDIO中重复的注释掉了

/********************************************************************************* @file    stm32_eval_spi_sd.h* @author  MCD Application Team* @version V4.5.0* @date    07-March-2011* @brief   This file contains all the functions prototypes for the stm32_eval_spi_sd*          firmware driver.******************************************************************************* @attention** THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS* WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE* TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY* DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING* FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE* CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.** <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>******************************************************************************  *//* Define to prevent recursive inclusion -------------------------------------*/
#ifndef __BSP_SPI_SD_H_
#define __BSP_SPI_SD_H_#ifdef __cplusplusextern "C" {#endif/* Includes ------------------------------------------------------------------*//** @addtogroup Utilities* @{*//** @addtogroup STM32_EVAL* @{*/ /** @addtogroup Common* @{*//** @addtogroup STM32_EVAL_SPI_SD* @{*/  /** @defgroup STM32_EVAL_SPI_SD_Exported_Types* @{*/ typedef enum
{/*** @brief  SD reponses and error flags*/SD_RESPONSE_NO_ERROR      = (0x00),SD_IN_IDLE_STATE          = (0x01),//SD_ERASE_RESET            = (0x02),SD_ILLEGAL_COMMAND        = (0x04),SD_COM_CRC_ERROR          = (0x08),SD_ERASE_SEQUENCE_ERROR   = (0x10),SD_ADDRESS_ERROR          = (0x20),SD_PARAMETER_ERROR        = (0x40),SD_RESPONSE_FAILURE       = (0xFF),/*** @brief  Data response error*/SD_DATA_OK                = (0x05),SD_DATA_CRC_ERROR         = (0x0B),SD_DATA_WRITE_ERROR       = (0x0D),SD_DATA_OTHER_ERROR       = (0xFF)
} SD_Error_SPI;/** * @brief  Card Specific Data: CSD Register   */
//typedef struct
//{//  __IO uint8_t  CSDStruct;            /*!< CSD structure */
//  __IO uint8_t  SysSpecVersion;       /*!< System specification version */
//  __IO uint8_t  Reserved1;            /*!< Reserved */
//  __IO uint8_t  TAAC;                 /*!< Data read access-time 1 */
//  __IO uint8_t  NSAC;                 /*!< Data read access-time 2 in CLK cycles */
//  __IO uint8_t  MaxBusClkFrec;        /*!< Max. bus clock frequency */
//  __IO uint16_t CardComdClasses;      /*!< Card command classes */
//  __IO uint8_t  RdBlockLen;           /*!< Max. read data block length */
//  __IO uint8_t  PartBlockRead;        /*!< Partial blocks for read allowed */
//  __IO uint8_t  WrBlockMisalign;      /*!< Write block misalignment */
//  __IO uint8_t  RdBlockMisalign;      /*!< Read block misalignment */
//  __IO uint8_t  DSRImpl;              /*!< DSR implemented */
//  __IO uint8_t  Reserved2;            /*!< Reserved */
//  __IO uint32_t DeviceSize;           /*!< Device Size */
//  __IO uint8_t  MaxRdCurrentVDDMin;   /*!< Max. read current @ VDD min */
//  __IO uint8_t  MaxRdCurrentVDDMax;   /*!< Max. read current @ VDD max */
//  __IO uint8_t  MaxWrCurrentVDDMin;   /*!< Max. write current @ VDD min */
//  __IO uint8_t  MaxWrCurrentVDDMax;   /*!< Max. write current @ VDD max */
//  __IO uint8_t  DeviceSizeMul;        /*!< Device size multiplier */
//  __IO uint8_t  EraseGrSize;          /*!< Erase group size */
//  __IO uint8_t  EraseGrMul;           /*!< Erase group size multiplier */
//  __IO uint8_t  WrProtectGrSize;      /*!< Write protect group size */
//  __IO uint8_t  WrProtectGrEnable;    /*!< Write protect group enable */
//  __IO uint8_t  ManDeflECC;           /*!< Manufacturer default ECC */
//  __IO uint8_t  WrSpeedFact;          /*!< Write speed factor */
//  __IO uint8_t  MaxWrBlockLen;        /*!< Max. write data block length */
//  __IO uint8_t  WriteBlockPaPartial;  /*!< Partial blocks for write allowed */
//  __IO uint8_t  Reserved3;            /*!< Reserded */
//  __IO uint8_t  ContentProtectAppli;  /*!< Content protection application */
//  __IO uint8_t  FileFormatGrouop;     /*!< File format group */
//  __IO uint8_t  CopyFlag;             /*!< Copy flag (OTP) */
//  __IO uint8_t  PermWrProtect;        /*!< Permanent write protection */
//  __IO uint8_t  TempWrProtect;        /*!< Temporary write protection */
//  __IO uint8_t  FileFormat;           /*!< File Format */
//  __IO uint8_t  ECC;                  /*!< ECC code */
//  __IO uint8_t  CSD_CRC;              /*!< CSD CRC */
//  __IO uint8_t  Reserved4;            /*!< always 1*/
//} SD_CSD;/** * @brief  Card Identification Data: CID Register   */
//typedef struct
//{//  __IO uint8_t  ManufacturerID;       /*!< ManufacturerID */
//  __IO uint16_t OEM_AppliID;          /*!< OEM/Application ID */
//  __IO uint32_t ProdName1;            /*!< Product Name part1 */
//  __IO uint8_t  ProdName2;            /*!< Product Name part2*/
//  __IO uint8_t  ProdRev;              /*!< Product Revision */
//  __IO uint32_t ProdSN;               /*!< Product Serial Number */
//  __IO uint8_t  Reserved1;            /*!< Reserved1 */
//  __IO uint16_t ManufactDate;         /*!< Manufacturing Date */
//  __IO uint8_t  CID_CRC;              /*!< CID CRC */
//  __IO uint8_t  Reserved2;            /*!< always 1 */
//} SD_CID;/** * @brief SD Card information */
//typedef struct
//{//  SD_CSD SD_csd;
//  SD_CID SD_cid;
//  uint32_t CardCapacity;  /*!< Card Capacity */
//  uint32_t CardBlockSize; /*!< Card Block Size */
//} SD_CardInfo;/*** @}*//** @defgroup STM32_EVAL_SPI_SD_Exported_Constants* @{*/ /*** @brief  Block Size*/
#define SD_BLOCK_SIZE    0x200/*** @brief  Dummy byte*/
#define SD_DUMMY_BYTE   0xFF/*** @brief  Start Data tokens:*         Tokens (necessary because at nop/idle (and CS active) only 0xff is *         on the data/command line)  */
#define SD_START_DATA_SINGLE_BLOCK_READ    0xFE  /*!< Data token start byte, Start Single Block Read */
#define SD_START_DATA_MULTIPLE_BLOCK_READ  0xFE  /*!< Data token start byte, Start Multiple Block Read */
#define SD_START_DATA_SINGLE_BLOCK_WRITE   0xFE  /*!< Data token start byte, Start Single Block Write */
#define SD_START_DATA_MULTIPLE_BLOCK_WRITE 0xFD  /*!< Data token start byte, Start Multiple Block Write */
#define SD_STOP_DATA_MULTIPLE_BLOCK_WRITE  0xFD  /*!< Data toke stop byte, Stop Multiple Block Write *//*** @brief  SD detection on its memory slot*/
//#define SD_PRESENT        ((uint8_t)0x01)
//#define SD_NOT_PRESENT    ((uint8_t)0x00)/*** @brief  Commands: CMDxx = CMD-number | 0x40*/
//#define SD_CMD_GO_IDLE_STATE          0   /*!< CMD0 = 0x40 */
//#define SD_CMD_SEND_OP_COND           1   /*!< CMD1 = 0x41 */
//#define SD_CMD_SEND_CSD               9   /*!< CMD9 = 0x49 */
//#define SD_CMD_SEND_CID               10  /*!< CMD10 = 0x4A */
//#define SD_CMD_STOP_TRANSMISSION      12  /*!< CMD12 = 0x4C */
//#define SD_CMD_SEND_STATUS            13  /*!< CMD13 = 0x4D */
//#define SD_CMD_SET_BLOCKLEN           16  /*!< CMD16 = 0x50 */
//#define SD_CMD_READ_SINGLE_BLOCK      17  /*!< CMD17 = 0x51 */
//#define SD_CMD_READ_MULT_BLOCK        18  /*!< CMD18 = 0x52 */
//#define SD_CMD_SET_BLOCK_COUNT        23  /*!< CMD23 = 0x57 */
//#define SD_CMD_WRITE_SINGLE_BLOCK     24  /*!< CMD24 = 0x58 */
//#define SD_CMD_WRITE_MULT_BLOCK       25  /*!< CMD25 = 0x59 */
//#define SD_CMD_PROG_CSD               27  /*!< CMD27 = 0x5B */
//#define SD_CMD_SET_WRITE_PROT         28  /*!< CMD28 = 0x5C */
//#define SD_CMD_CLR_WRITE_PROT         29  /*!< CMD29 = 0x5D */
//#define SD_CMD_SEND_WRITE_PROT        30  /*!< CMD30 = 0x5E */
//#define SD_CMD_SD_ERASE_GRP_START     32  /*!< CMD32 = 0x60 */
//#define SD_CMD_SD_ERASE_GRP_END       33  /*!< CMD33 = 0x61 */
//#define SD_CMD_UNTAG_SECTOR           34  /*!< CMD34 = 0x62 */
//#define SD_CMD_ERASE_GRP_START        35  /*!< CMD35 = 0x63 */
//#define SD_CMD_ERASE_GRP_END          36  /*!< CMD36 = 0x64 */
//#define SD_CMD_UNTAG_ERASE_GROUP      37  /*!< CMD37 = 0x65 */
//#define SD_CMD_ERASE                  38  /*!< CMD38 = 0x66 *//*** @}*/ /** @defgroup STM32_EVAL_SPI_SD_Exported_Macros* @{*/
/** * @brief  Select SD Card: ChipSelect pin low   */
#define SD_CS_LOW()     GPIO_ResetBits(GPIOA, GPIO_Pin_4)
/** * @brief  Deselect SD Card: ChipSelect pin high   */
#define SD_CS_HIGH()    GPIO_SetBits(GPIOA, GPIO_Pin_4)/** @defgroup STM32_EVAL_SPI_SD_Exported_Functions* @{*/
void SD_DeInit_SPI(void);
SD_Error_SPI SD_Init_SPI(void);
uint8_t SD_Detect_SPI(void);
SD_Error_SPI SD_GetCardInfo_SPI(SD_CardInfo *cardinfo);
SD_Error_SPI SD_ReadBlock_SPI(uint8_t* pBuffer, uint32_t ReadAddr, uint16_t BlockSize);
SD_Error_SPI SD_ReadMultiBlocks_SPI(uint8_t* pBuffer, uint32_t ReadAddr, uint16_t BlockSize, uint32_t NumberOfBlocks);
SD_Error_SPI SD_WriteBlock_SPI(uint8_t* pBuffer, uint32_t WriteAddr, uint16_t BlockSize);
SD_Error_SPI SD_WriteMultiBlocks_SPI(uint8_t* pBuffer, uint32_t WriteAddr, uint16_t BlockSize, uint32_t NumberOfBlocks);
SD_Error_SPI SD_GetCSDRegister(SD_CSD* SD_csd);
SD_Error_SPI SD_GetCIDRegister(SD_CID* SD_cid);void SD_SendCmd(uint8_t Cmd, uint32_t Arg, uint8_t Crc);
SD_Error_SPI SD_GetResponse(uint8_t Response);
uint8_t SD_GetDataResponse(void);
SD_Error_SPI SD_GoIdleState(void);
uint16_t SD_GetStatus_SPI(void);uint8_t SD_WriteByte(uint8_t Data);
uint8_t SD_ReadByte(void);void SD_LowLevel_DeInit_SPI(void);
void SD_LowLevel_Init_SPI(void);
void SD_SPI_SetSpeedLow(void);
void SD_SPI_SetSpeedHi(void);#ifdef __cplusplus
}
#endif#endif /* __STM32_EVAL_SPI_SD_H */
/*** @}*//*** @}*//*** @}*//*** @}*/ /*** @}*/    /******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/

STM32F205通过SDIO和SPI读写SD卡文件相关推荐

  1. STM32利用SPI读写SD卡的程序详解

    STM32利用SPI读写SD卡的一些程序详解 关于SD卡的基础知识这里不做过多陈述,如果有对这方面感兴趣的朋友可以直接百度一下,有很多讲SD卡的文章,这里主要是针对SD卡的读写程序实现做一些详细说明. ...

  2. SPI读写SD卡速度有多快?

    SD卡是一个嵌入式中非常常用的外设,可以用于存储一些大容量的数据.但用单片机读写SD卡速度一般都有限(对于高速SD卡,主要是受限于单片机本身的接口速度),在高速.实时数据存储时可能会有影响.但具体速度 ...

  3. STM32用cube配置FATFS模式下SPI读写SD卡

    要求:掌握SD卡协议原理,用STM32F103 完成对SD卡的数据读取(FAT文件模式) 目录 一.SD卡与FATS 1.SD卡 2.FATFS文件系统 二.工程实现 1.编译运行 2.接线 3.初始 ...

  4. android 读写SD卡文件

    Android正确获取SD卡目录及使用SD卡目录 SD卡作为手机的扩展存储设备,在手机中充当硬盘角色,可以让我们手机存放更多的数据以及多媒体等大体积文件.因此查看SD卡的内存就跟我们查看硬盘的剩余空间 ...

  5. SPI方式读写SD卡速度有多快?

    很久没有写公众号了,一方面忙,另一方面也不知道写些什么内容,大家如果有想了解的(前提是我也懂),可以后台发送给我. 今天主要来测试一下SPI读写SD卡的速度.SD卡是一个嵌入式中非常常用的外设,可以用 ...

  6. 使用STM32在SPI模式下读写SD卡

    使用STM32在SPI模式下读写SD卡 代码分享 一.实验原理图 二.SD卡 三.实验操作 1.在stm32cubemx建立工程 2.根据上面的原理图连接硬件 3.keil编译和烧录 四.实验结果 总 ...

  7. SDIO读写SD卡速度有多快?

    前两天测试了SPI方式读写SD卡的速度<SPI方式读写SD卡速度测试>,今天来测试一下SDIO方式的读写速度. 测试条件: 单片机:STM32F407VET6 编译环境:MDK 5.30+ ...

  8. SDIO协议梳理附SD卡读写以及FATFS移植实例

    文章列表 嵌入式各协议标准按照OSI模型的思路进行逐个梳理,文章列表如下: 串行通信&UART协议梳理附STM32平台采用DMA以UART方式收发不定长信息 I²C总线协议梳理附STM32平台 ...

  9. SPI协议读写SD卡介绍

    前言 在前面的文章中,我们主要介绍了如何利用SDIO协议对SD卡进行读写操作的流程及注意事项.虽然SDIO协议读写SD卡的效率很高,但是,操作却比较麻烦,另外,还需要使用的芯片具有SDIO外设.这对于 ...

  10. STM32开发板入门教程(十三) - SPI模式读写SD卡

    功能介绍 :使用SPI模式 读写SD卡block数据 可通过串口发送到PC机查看 SD卡是Secure Digital Card卡的简称,直译成汉语就是"安全数字卡",是由日本松下 ...

最新文章

  1. linux ntfs 挂载 centos,centos linux ntfs iso 挂载
  2. 查看pcl版本 linux,成功安装 linux pcl (1.8.1版本) python-pcl
  3. 不忽略空值null的聚合函数_sqlzoo 练习题答案 聚合函数 和 空值 部分
  4. 6410裸机开发教程下载
  5. 独家专访@爱可可-爱生活:如何做好科学研究(干货满满)
  6. html5中drag//drop拖曳效果的用法
  7. 数据结构 第二章 线性表
  8. 用计算机证明的定理,定理机器证明
  9. 用tar给linux .rar解压,Linux 下解压 rar 文件的方法
  10. wordpress主题开发教程
  11. 九爷 带你玩转mysql引擎Mylsam
  12. Excel VBA自定义功能区
  13. Windows10 MySQL8.0版本的压缩包安装方式
  14. 手机登陆 html,手机登录(登陆)路由器方法
  15. HTML5七夕情人节表白网页制作【粉色的情人节爱心飞出ui特效】HTML+CSS+JavaScript html生日快乐祝福网页制作
  16. 梦幻无穷的幻灯片切换效果
  17. Day25:Python基础编程(函数)能力训练50天——回文数
  18. Jmeter接口测试-if控制器
  19. Vue中ref的作用???
  20. 反编译华为U8825Dldquo;framework-res.apkrdquo;出现的错误提示(1)

热门文章

  1. 新手零基础一小时学会小程序开发制作教程
  2. 深度置信网络(DBN)在Tensorflow中的实现以及它在MNIST中的应用实例
  3. ubuntu 定时备份mysql,ubuntu下mysql定时备份
  4. 【Hive】Hive 建表语句详解
  5. json对象数组转数组方法
  6. 06 OPENMV中的AT指令集操作
  7. 图片保存为YUV格式
  8. OpenCV 常用网址和基础知识
  9. a标签实现下载文件功能
  10. 计算机类研究生求职经历以及经验共享(转)