1.端口图

2.VHDL语言

2.1case语句

library ieee;
use ieee.std_logic_1164.all;entity mux4 isport(a,b,c,d : in std_logic;s1,s2 : in std_logic;z : out std_logic);
end mux4;architecture behave of mux4 is
signal s : std_logic_vector(1 downto 0);
begins <= s1&s2;process(a,b,c,d,s1,s2)begincase s iswhen "00" => z <= a;when "01" => z <= b;when "10" => z <= c;when "11" => z <= d;when others => z <= 'X';end case;end process;
end behave;

if语句

architecture behave of mux4 is
signal s : std_logic_vector(1 downto 0);
begins <= s1&s2;process(a,b,c,d,s1,s2)beginif(s = "00") then z <= a;elsif(s = "01")then z <= b;elsif(s = "10")then z <= c;else z <= d;end if;end process;
end behave;

VHDL——4选1数据选择器相关推荐

  1. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  2. Verilog VHDL三种建模描述方式——2选1数据选择器

    标题Quartus II 标题 Verilog VHDL三种建模描述方式--2选1数据选择器 1,结构化描述方式: 是使用实例化低层次模块的方法,即调用其他已经定义过的低层次模块对整个电路的功能进行描 ...

  3. VHDL实验一:2选1数据选择器(绘制原理图)

    一.实验要求 2选1数据选择器 输入信号:两个数据源a和b,选择端s.输出信号:选择输出端y.利用选择端s对输出端进行控制.达到2选1数据选择器的效果. 二.实验内容 1.二选一数据选择器的原理图: ...

  4. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

  5. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  6. # Quartusll采用IF设计二选一数据选择器及仿真

    Quartusll采用IF设计二选一数据选择器及仿真 软件Quartusll9.1 没有软件的小可爱先来领取资源哈~~(9版本以后就不自带仿真) 链接:https://pan.baidu.com/s/ ...

  7. FPGA(3)验证数字逻辑(与门、与非门、二选一数据选择器、2-4译码器、半加器、全加器)

    目录 一.验证与门 二.验证与非门 三.验证二选一数据选择器 四.验证2-4译码器 五.验证半加器 六.验证全加器 0.初始化定义 1.第一个半加器 2.第二个半加器 3.得到最终进位Co 代码 0决 ...

  8. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

  9. 4片74151扩展为32选1数据选择器

    在做较为复杂的电路设计时, 比如设计过程包含对于5变量逻辑函数的实现, 此时若用大量的逻辑门来实现, 那无疑是耗时耗力的: 若直接用32选1数据选择器进行实现, 则只需对照真值表来接线即可, 这样免去 ...

  10. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

最新文章

  1. 移动应用程序和网页应用程序_您的移动应用程序运行缓慢的主要原因以及如何修复它...
  2. 异常-----freemarker.template.TemplateException: Error executing macro: write
  3. linux mysql 管理(转载)
  4. vscode设置成中文完整步骤
  5. java epoll select_Java 非阻塞 IO 和异步 IO
  6. 计算机网络基础:广域网协议相关知识笔记
  7. JDK8的日期时间类3
  8. 迭代加深搜索 C++解题报告 :[SCOI2005]骑士精神
  9. python儿童入门书_一款儿童编程入门的理想工具——PythonTurtle
  10. Django添加MySQL数据库
  11. (数组) leetcode 189. Rotate Array
  12. Spring学习篇:AOP知识整理
  13. mysql 写入性能_MySQL存储写入性能严重抖动分析
  14. Atitit 缓存实施遇到的问题与解决 s420 attilax 艾提拉总结 Atitit 缓存增加最佳实践与实施流程 1. 业务准确性问题正确性问题 1 1.1. 缓存key正确性问题 1
  15. 智慧校园视频监控管理系统平台建设的详情分析
  16. 计算机网络的三个基本拓扑结构类型,计算机网络拓扑结构的分类
  17. 计算机公式求时间差公式,excel时间差计算公式有哪些 excel怎么快速计算时间差...
  18. DataGridview单击某个单元格选中一行
  19. c# u盘使用记录_C# 监测U盘插入与拔出事件
  20. windows下开启文件共享的访问日志

热门文章

  1. 数字图像处理 采样定理_数字图像处理(第4版)
  2. Hadoop入门集群环境搭建
  3. 数据清洗Chap6——数据统计
  4. 硅谷女孩火了,更该让他们开始学编程
  5. 与孩子一起学编程04章
  6. 强烈推荐与孩子一起学编程(老少咸宜的编程入门奇书,荣获Jolt生产效率大
  7. 【C++游戏】日常学生党摸鱼小游戏——职业作秀V1.5.1(图文英雄解说攻略)游戏由c++与易语言配合完成
  8. 轻量级过程改进之项目启动
  9. 自定义mybatis的拦截器+Memcached+treeNMS
  10. seay代码审计mysql插件报错_Seay源代码审计系统1.0版本发布(含下载)