目录

  • 一、串口通信基础知识
    • 1、什么是串口?
    • 2、同步通信和异步通信
    • 3、串行通信的传输方向
    • 4、常见的串口通信接口
  • 二、UART串口通信
    • UART基础知识
      • 1、协议层:通信协议(包括数据格式,传输速率等)
        • (1)数据格式
        • (2)传输速率
      • 2、物理层:接口类型,电平标准等
    • UART串口通信实验
      • 1、程序设计
        • (1)程序框图
        • (2)时序框图
        • (3)接收模块
        • (4)发送模块
        • (5)环回模块
        • (6)顶层模块
        • (7)TRL级原理图
  • 三、RS485串口通信
    • RS485基础知识
      • 1、单端传输与差分传输
    • RS485串口通信实验
      • 1、程序框图
      • 2、程序设计
        • (1)按键消抖模块
        • (2)LED 灯控制模块
        • (3)接收模块
        • (4)发送模块
        • (5)顶层模块
        • (6)RTL级原理图

一、串口通信基础知识

1、什么是串口?

串行接口简称串口,也称串行通信接口或串行通讯接口(通常指COM接口),是采用串行通信方式的扩展接口。串行接口 (Serial Interface)是指数据一位一位地顺序传送。其特点是通信线路简单,只要一对传输线就可以实现双向通信(可以直接利用电话线作为传输线),从而大大降低了成本,特别适用于远距离通信,但传送速度较慢。

2、同步通信和异步通信

串行通信分为两种方式:同步串行通信和异步串行通信。

同步串行通信需要通信双方在同一时钟的控制下,同步传输数据,比如:SPI,IIC通信接口。图1所示;

异步串行通信是指通信双方使用各自的时钟控制数据的发送和接收过程,比如:UART(通用异步收发器),图2所示。

图1同步串行通信

图2异步串行通信

3、串行通信的传输方向

根据串行数据的传输方向,我们可以将通信分为单工,半双工,双工。

单工:是指数据传输仅能沿一个方向,不能实现反向传输。

半双工:是指数据传输可以沿两个方向,但需要分时进行传输。

全双工:是指数据可以同时进行双向传输。

下图是单工、半双工以及全双工的示意图

4、常见的串口通信接口

二、UART串口通信

UART基础知识

UART 是一种采用异步串行通信方式的通用异步收发传输器(universal asynchronous receiver-transmitter),它在发送数据时将并行数据转换成串行数据来传输,在接收数据时将接收到的串行数据转换成并行数据。

1、协议层:通信协议(包括数据格式,传输速率等)

(1)数据格式

UART 串口通信需要两根信号线来实现,一根用于串口发送,另外一根负责串口接收。UART 在发送或接收过程中的一帧数据由 4 部分组成,起始位、数据位、奇偶校验位和停止位,如图所示。其中,起始位标志着一帧数据的开始,停止位标志着一帧数据的结束,数据位是一帧数据中的有效数据。校验位分为奇校验偶校验用于检验数据在传输过程中是否出错。奇校验时,发送方应使数据位中 1 的个数与校验位中 1 的个数之和为奇数;接收方在接收数据时,对 1 的个数进行检查,若不为奇数,则说明数据在传输过程中出了差错。同样,偶校验则检查 1 的个数是否为偶数。

(2)传输速率

波特率:串行通信的数据是按位进行传送的,一般将机器每秒钟传送的二进制数码的位数称为波特率,单位为bps,即位/秒,比如1秒钟传送1位,就是1波特。用于说明数据传送的快慢。UART 通信过程中的数据格式及传输速率是可设置的,为了正确的通信,收发双方应约定并遵循同样的设置。数据位可选择为 5、6、7、8 位,其中 8 位数据位是最常用的,在实际应用中一般都选择 8 位数据位;校验位可选择奇校验、偶校验或者无校验位;停止位可选择 1 位(默认),1.5 或 2 位。串口通信的速率用波特率表示,它表示每秒传输二进制数据的位数,单位是 bps(位/秒),常用的波特率有 9600、19200、38400、57600 以及 115200 等。

2、物理层:接口类型,电平标准等

针对异步串行通信的接口标准有RS232、RS422、RS485等

在设置好数据格式及传输速率之后,UART 负责完成数据的串并转换,而信号的传输则由外部驱动电路实现。电信号的传输过程有着不同的电平标准和接口规范,针对异步串行通信的接口标准有 RS232、RS422、RS485 等,它们定义了接口不同的电气特性,如 RS-232 是单端输入输出,而 RS-422/485 为差分输入输出等。

RS232 接口标准出现较早,可实现全双工工作方式,即数据发送和接收可以同时进行。在传输距离较短时(不超过 15m),RS232 是串行通信最常用的接口标准,RS-232 标准的串口最常见的接口类型为 DB9

UART串口通信实验

1、程序设计

(1)程序框图

(2)时序框图

(3)接收模块
module uart_recv(input                sys_clk,                  //系统时钟input              sys_rst_n,                //系统复位,低电平有效input              uart_rxd,                 //UART接收端口output  reg        uart_done,                //接收一帧数据完成标志output  reg        rx_flag,                  //接收过程标志信号output  reg [3:0]  rx_cnt,                   //接收数据计数器output  reg [7:0]  rxdata,output  reg [7:0]  uart_data                 //接收的数据);//parameter define
parameter  CLK_FREQ = 50000000;                //系统时钟频率
parameter  UART_BPS = 115200;                    //串口波特率
localparam  BPS_CNT  = CLK_FREQ/UART_BPS;      //为得到指定波特率,//需要对系统时钟计数BPS_CNT次
//reg define
reg        uart_rxd_d0;
reg        uart_rxd_d1;
reg [15:0] clk_cnt;                              //系统时钟计数器//wire define
wire       start_flag;//*****************************************************
//**                    main code
//*****************************************************
//捕获接收端口下降沿(起始位),得到一个时钟周期的脉冲信号
assign  start_flag = uart_rxd_d1 & (~uart_rxd_d0);    //对UART接收端口的数据延迟两个时钟周期
always @(posedge sys_clk or negedge sys_rst_n) begin if (!sys_rst_n) begin uart_rxd_d0 <= 1'b0;uart_rxd_d1 <= 1'b0;          endelse beginuart_rxd_d0  <= uart_rxd;                   uart_rxd_d1  <= uart_rxd_d0;end
end//当脉冲信号start_flag到达时,进入接收过程
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n)                                  rx_flag <= 1'b0;else beginif(start_flag)                          //检测到起始位rx_flag <= 1'b1;                    //进入接收过程,标志位rx_flag拉高//计数到停止位中间时,停止接收过程else if((rx_cnt == 4'd9) && (clk_cnt == BPS_CNT/2))rx_flag <= 1'b0;                    //接收过程结束,标志位rx_flag拉低elserx_flag <= rx_flag;end
end//进入接收过程后,启动系统时钟计数器
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n)                             clk_cnt <= 16'd0;                                  else if ( rx_flag ) begin             //处于接收过程if (clk_cnt < BPS_CNT - 1)clk_cnt <= clk_cnt + 1'b1;elseclk_cnt <= 16'd0;             //对系统时钟计数达一个波特率周期后清零endelse                                             clk_cnt <= 16'd0;                      //接收过程结束,计数器清零
end//进入接收过程后,启动接收数据计数器
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n)                             rx_cnt  <= 4'd0;else if ( rx_flag ) begin                //处于接收过程if (clk_cnt == BPS_CNT - 1)               //对系统时钟计数达一个波特率周期rx_cnt <= rx_cnt + 1'b1;         //此时接收数据计数器加1elserx_cnt <= rx_cnt;       endelserx_cnt  <= 4'd0;                       //接收过程结束,计数器清零
end//根据接收数据计数器来寄存uart接收端口数据
always @(posedge sys_clk or negedge sys_rst_n) begin if ( !sys_rst_n)  rxdata <= 8'd0;                                     else if(rx_flag)                            //系统处于接收过程if (clk_cnt == BPS_CNT/2) begin         //判断系统时钟计数器计数到数据位中间case ( rx_cnt )4'd1 : rxdata[0] <= uart_rxd_d1;   //寄存数据位最低位4'd2 : rxdata[1] <= uart_rxd_d1;4'd3 : rxdata[2] <= uart_rxd_d1;4'd4 : rxdata[3] <= uart_rxd_d1;4'd5 : rxdata[4] <= uart_rxd_d1;4'd6 : rxdata[5] <= uart_rxd_d1;4'd7 : rxdata[6] <= uart_rxd_d1;4'd8 : rxdata[7] <= uart_rxd_d1;   //寄存数据位最高位default:;                                    endcaseendelse rxdata <= rxdata;elserxdata <= 8'd0;
end//数据接收完毕后给出标志信号并寄存输出接收到的数据
always @(posedge sys_clk or negedge sys_rst_n) begin        if (!sys_rst_n) beginuart_data <= 8'd0;                               uart_done <= 1'b0;endelse if(rx_cnt == 4'd9) begin               //接收数据计数器计数到停止位时           uart_data <= rxdata;                    //寄存输出接收到的数据uart_done <= 1'b1;                      //并将接收完成标志位拉高endelse beginuart_data <= 8'd0;                                   uart_done <= 1'b0; end
endendmodule
(4)发送模块
module uart_send(input              sys_clk,             //系统时钟input              sys_rst_n,           //系统复位,低电平有效input              uart_en,             //发送使能信号input       [ 7:0] uart_din,            //待发送数据output  reg        uart_txd             //UART发送端口                    并行数据uart_din转为串行数据uart_txd传输output             uart_tx_busy,        //发送忙状态标志 output             en_flag     ,output  reg        tx_flag,             //发送过程标志信号output  reg [ 7:0] tx_data,             //寄存发送数据output  reg [ 3:0] tx_cnt,              //发送数据计数器);//parameter define
parameter  CLK_FREQ = 50000000;             //系统时钟频率
parameter  UART_BPS = 115200;                 //串口波特率
localparam  BPS_CNT  = CLK_FREQ/UART_BPS;   //为得到指定波特率,对系统时钟计数BPS_CNT次//reg define
reg        uart_en_d0;
reg        uart_en_d1;
reg [15:0] clk_cnt;                           //系统时钟计数器//*****************************************************
//**                    main code
//*****************************************************
//在串口发送过程中给出忙状态标志
assign uart_tx_busy = tx_flag;//捕获uart_en上升沿,得到一个时钟周期的脉冲信号
assign en_flag = (~uart_en_d1) & uart_en_d0;//对发送使能信号uart_en延迟两个时钟周期
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n) beginuart_en_d0 <= 1'b0;                                  uart_en_d1 <= 1'b0;end                                                      else begin                                               uart_en_d0 <= uart_en;                               uart_en_d1 <= uart_en_d0;                            end
end//当脉冲信号en_flag到达时,寄存待发送的数据,并进入发送过程
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n) begin                                  tx_flag <= 1'b0;tx_data <= 8'd0;end else if (en_flag) begin                 //检测到发送使能上升沿                      tx_flag <= 1'b1;                //进入发送过程,标志位tx_flag拉高tx_data <= uart_din;            //寄存待发送的数据end//计数到停止位结束时,停止发送过程else if ((tx_cnt == 4'd9) && (clk_cnt == BPS_CNT - (BPS_CNT/16))) begin //15/16的  BPS_CNT大于1/2的BPS_CNT,也可以用一个波特率周期                              tx_flag <= 1'b0;                //发送过程结束,标志位tx_flag拉低tx_data <= 8'd0;endelse begintx_flag <= tx_flag;tx_data <= tx_data;end
end//进入发送过程后,启动系统时钟计数器
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n)                             clk_cnt <= 16'd0;                                  else if (tx_flag) begin                 //处于发送过程if (clk_cnt < BPS_CNT - 1)clk_cnt <= clk_cnt + 1'b1;elseclk_cnt <= 16'd0;               //对系统时钟计数达一个波特率周期后清零endelse                             clk_cnt <= 16'd0;                      //发送过程结束
end//进入发送过程后,启动发送数据计数器
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n)                             tx_cnt <= 4'd0;else if (tx_flag) begin               //处于发送过程if (clk_cnt == BPS_CNT - 1)           //对系统时钟计数达一个波特率周期tx_cnt <= tx_cnt + 1'b1;     //此时发送数据计数器加1elsetx_cnt <= tx_cnt;       endelse                              tx_cnt  <= 4'd0;                 //发送过程结束
end//根据发送数据计数器来给uart发送端口赋值
always @(posedge sys_clk or negedge sys_rst_n) begin        if (!sys_rst_n)  uart_txd <= 1'b1;        else if (tx_flag)case(tx_cnt)4'd0: uart_txd <= 1'b0;         //起始位 4'd1: uart_txd <= tx_data[0];   //数据位最低位4'd2: uart_txd <= tx_data[1];4'd3: uart_txd <= tx_data[2];4'd4: uart_txd <= tx_data[3];4'd5: uart_txd <= tx_data[4];4'd6: uart_txd <= tx_data[5];4'd7: uart_txd <= tx_data[6];4'd8: uart_txd <= tx_data[7];   //数据位最高位4'd9: uart_txd <= 1'b1;         //停止位default: ;endcaseelse uart_txd <= 1'b1;                   //空闲时发送端口为高电平
endendmodule
(5)环回模块
module uart_loop(input            sys_clk,                   //系统时钟input            sys_rst_n,                 //系统复位,低电平有效input            recv_done,                 //接收一帧数据完成标志input      [7:0] recv_data,                 //接收的数据input            tx_busy,                   //发送忙状态标志      output reg       send_en,                   //发送使能信号output reg [7:0] send_data                  //待发送数据);//reg define
reg recv_done_d0;
reg recv_done_d1;
reg tx_ready;//wire define
wire recv_done_flag;//*****************************************************
//**                    main code
//*****************************************************//捕获recv_done上升沿,得到一个时钟周期的脉冲信号
assign recv_done_flag = (~recv_done_d1) & recv_done_d0;//对发送使能信号recv_done延迟两个时钟周期
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n) beginrecv_done_d0 <= 1'b0;                                  recv_done_d1 <= 1'b0;end                                                      else begin                                               recv_done_d0 <= recv_done;                               recv_done_d1 <= recv_done_d0;                            end
end//判断接收完成信号,并在串口发送模块空闲时给出发送使能信号
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n) begintx_ready  <= 1'b0; send_en   <= 1'b0;send_data <= 8'd0;end                                                      else begin                                               if(recv_done_flag)begin                 //检测串口接收到数据tx_ready  <= 1'b1;                  //准备启动发送过程send_en   <= 1'b0;send_data <= recv_data;             //寄存串口接收的数据endelse if(tx_ready && (~tx_busy)) begin   //检测串口发送模块空闲tx_ready <= 1'b0;                   //准备过程结束send_en  <= 1'b1;                   //拉高发送使能信号endend
endendmodule
(6)顶层模块
module uart_loopback_top(input           sys_clk,            //外部50M时钟input           sys_rst_n,          //外部复位信号,低有效input           uart_rxd,           //UART接收端口output          uart_txd            //UART发送端口);//parameter define
parameter  CLK_FREQ = 50000000;         //定义系统时钟频率
parameter  UART_BPS = 115200;           //定义串口波特率//wire define
wire       uart_recv_done;              //UART接收完成
wire [7:0] uart_recv_data;              //UART接收数据
wire       uart_send_en;                //UART发送使能
wire [7:0] uart_send_data;              //UART发送数据
wire       uart_tx_busy;                //UART发送忙状态标志//*****************************************************
//**                    main code
//*****************************************************//串口接收模块
uart_recv #(                          .CLK_FREQ       (CLK_FREQ),         //设置系统时钟频率.UART_BPS       (UART_BPS))         //设置串口接收波特率
u_uart_recv(                 .sys_clk        (sys_clk), .sys_rst_n      (sys_rst_n),.uart_rxd       (uart_rxd),.uart_done      (uart_recv_done),.uart_data      (uart_recv_data));//串口发送模块
uart_send #(                          .CLK_FREQ       (CLK_FREQ),         //设置系统时钟频率.UART_BPS       (UART_BPS))         //设置串口发送波特率
u_uart_send(                 .sys_clk        (sys_clk),.sys_rst_n      (sys_rst_n),.uart_en        (uart_send_en),.uart_din       (uart_send_data),.uart_tx_busy   (uart_tx_busy),.uart_txd       (uart_txd));//串口环回模块
uart_loop u_uart_loop(.sys_clk        (sys_clk),             .sys_rst_n      (sys_rst_n),           .recv_done      (uart_recv_done),   //接收一帧数据完成标志信号.recv_data      (uart_recv_data),   //接收的数据.tx_busy        (uart_tx_busy),     //发送忙状态标志      .send_en        (uart_send_en),     //发送使能信号.send_data      (uart_send_data)    //待发送数据);endmodule
(7)TRL级原理图

三、RS485串口通信

RS485基础知识

RS-485 是针对 UART 串口的一种接口标准,它定义了串行通信系统中发送器和接收器的一系列电气特性。相比于 RS-232,RS-485 标准的通信系统抗干扰能力较强,可实现长距离数据传输,同时支持多个收发器连接到同一个通信网络中。因此,RS-485 在工业控制领域以及有类似需求的系统中得到了广泛的应用。

1、单端传输与差分传输

单端传输是指在发送或接收过程中,用信号线对地线的电压值来表示逻辑“0”和“1”。而差分传输使用两根信号线来传输一路信号,这两根信号线上传输的信号幅值相等,相位相差 180 度(极性相反),用它们的差值来表示逻辑“0”和“1”,如图 所示。

在传输过程中,当信号线上叠加了频率、幅值和相位都相同的干扰信号时(共模干扰),对于单端传输而言,由于地线电位为 0,则传输的信号就包含了干扰信号;而在差分传输方式下,干扰可以通过两个信号线上电压的差值抵消,相当于抑制了共模干扰,如图 所示。因此相对于单端传输方式,差分传输大大提高了信号在传输过程中的抗干扰能力,但是需要多余的信号线来实现信号传输。

RS-232 接口标准出现较早,信号采用负逻辑电平、单端传输方式工作。通过一根信号线发送,一根信 号线接收,加上一根地线,RS-232 可实现全双工通信。由于单端传输方式抗干扰能力差,导致 RS-232 标准通信距离短(小于 15 米),数据传输速率低等问题。另外 RS-232 仅支持一对一通信,存在无法实现多个设备互联的缺点。RS-422 由 RS-232 发展而来,它是为弥补 RS-232 之不足而提出的。RS-422 采用差分传输(又称平衡传输)方式,将最大传输速率提高到 10Mbps;当传输速率在 100kbps 以下时,传输距离可达 1200 米。由于采用差分传输方式,RS-422 需要 4 根信号线来实现全双工通信,两根用于发送、两根用于接收,一般会再加上一根地线。RS-422 允许在一条传输总线上连接最多 10 个接收器,从而实现单个设备发送,多个设备接收的功能。

为扩展应用范围,在 RS-422 基础上又制定了 RS-485 标准。RS-485 同样采用差分传输方式,但是 RS-485只有 2 根信号线,由发送和接收共用,因此发送和接收不能同时进行,只能实现半双工通信。RS-485 增加了多点、双向通信能力,即允许多个发送器连接到同一条总线上,各设备通过使能信号控制发送和接收过程。

RS485串口通信实验

1、程序框图

当检测到有按键按下或释放时,将按键数据通 过 RS485 串口发送出去;而当 RS485 串口接收到对方发送的按键数据时,根据接收到的数据改变 LED 灯的显示状态。由此画出系统的功能框图如下所示:

2、程序设计

由系统总体框图可知,FPGA 部分包括五个模块,顶层模块(rs485_uart_top)、接收模块(uart_recv)、发送模块(uart_send)、按键消抖模块(key_debounce)和 LED 灯控制模块(led_ctrl)。其中在顶层模块中完成对另外四个模块的例化。

(1)按键消抖模块
module key_debounce(input            sys_clk,          //外部50M时钟input            sys_rst_n,        //外部复位信号,低有效input      [3:0] key,              //外部按键输入output reg       key_flag,         //按键数据有效信号output reg [3:0] key_value         //按键消抖后的数据);//reg define
reg [31:0] delay_cnt;
reg [ 3:0] key_reg;//*****************************************************
//**                    main code
//*****************************************************
always @(posedge sys_clk or negedge sys_rst_n) begin if (!sys_rst_n) begin key_reg   <= 4'b1111;delay_cnt <= 32'd0;endelse beginkey_reg <= key;if(key_reg != key)             //一旦检测到按键状态发生变化(有按键被按下或释放)delay_cnt <= 32'd1000000;  //给延时计数器重新装载初始值(计数时间为20ms)else if(key_reg == key) begin  //在按键状态稳定时,计数器递减,开始20ms倒计时if(delay_cnt > 32'd0)delay_cnt <= delay_cnt - 1'b1;elsedelay_cnt <= delay_cnt;end           end
endalways @(posedge sys_clk or negedge sys_rst_n) begin if (!sys_rst_n) begin key_flag  <= 1'b0;key_value <= 4'b1111;          endelse beginif(delay_cnt == 32'd1) begin   //当计数器递减到1时,说明按键稳定状态维持了20mskey_flag  <= 1'b1;         //此时消抖过程结束,给出一个时钟周期的标志信号key_value <= key;          //并寄存此时按键的值endelse beginkey_flag  <= 1'b0;key_value <= key_value; end  end
endendmodule 
(2)LED 灯控制模块
module led_ctrl(input            sys_clk,          //外部50M时钟input            sys_rst_n,        //外部复位信号,低有效input            led_en,           //led控制使能input      [3:0] led_data,         //led控制数据output reg [3:0] led               //led灯);//reg define
reg led_en_d0;
reg led_en_d1;//wire define
wire led_en_flag;//*****************************************************
//**                    main code
//*****************************************************
//捕获led_en上升沿,得到一个时钟周期的脉冲信号
assign led_en_flag = (~led_en_d1) & led_en_d0;always @(posedge sys_clk or negedge sys_rst_n) begin if (!sys_rst_n) begin led_en_d0 <= 1'b0;led_en_d1 <= 1'b0;endelse beginled_en_d0 <= led_en;led_en_d1 <= led_en_d0;end
endalways @(posedge sys_clk or negedge sys_rst_n) begin if (!sys_rst_n) led <= 4'b0000;else if(led_en_flag)               //在led_en上升沿到来时,改变led灯的状态led <= ~led_data;          //按键按下时为低电平,而led高电平时点亮elseled <= led;
endendmodule 
(3)接收模块
module uart_recv(input               sys_clk,                  //系统时钟input             sys_rst_n,                //系统复位,低电平有效input             uart_rxd,                 //UART接收端口output  reg       uart_done,                //接收一帧数据完成标志信号output  reg [7:0] uart_data                 //接收的数据);//parameter define
parameter  CLK_FREQ = 50000000;                 //系统时钟频率
parameter  UART_BPS = 9600;                     //串口波特率
localparam BPS_CNT  = CLK_FREQ/UART_BPS;        //为得到指定波特率,//需要对系统时钟计数BPS_CNT次
//reg define
reg        uart_rxd_d0;
reg        uart_rxd_d1;
reg [15:0] clk_cnt;                             //系统时钟计数器
reg [ 3:0] rx_cnt;                              //接收数据计数器
reg        rx_flag;                             //接收过程标志信号
reg [ 7:0] rxdata;                              //接收数据寄存器//wire define
wire       start_flag;//*****************************************************
//**                    main code
//*****************************************************
//捕获接收端口下降沿(起始位),得到一个时钟周期的脉冲信号
assign  start_flag = uart_rxd_d1 & (~uart_rxd_d0);    //对UART接收端口的数据延迟两个时钟周期
always @(posedge sys_clk or negedge sys_rst_n) begin if (!sys_rst_n) begin uart_rxd_d0 <= 1'b0;uart_rxd_d1 <= 1'b0;          endelse beginuart_rxd_d0  <= uart_rxd;                   uart_rxd_d1  <= uart_rxd_d0;end
end//当脉冲信号start_flag到达时,进入接收过程
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n)                                  rx_flag <= 1'b0;else beginif(start_flag)                          //检测到起始位rx_flag <= 1'b1;                    //进入接收过程,标志位rx_flag拉高else if((rx_cnt == 4'd9)&&(clk_cnt == BPS_CNT/2))rx_flag <= 1'b0;                    //计数到停止位中间时,停止接收过程elserx_flag <= rx_flag;end
end//进入接收过程后,启动系统时钟计数器与接收数据计数器
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n) begin                             clk_cnt <= 16'd0;                                  rx_cnt  <= 4'd0;end                                                      else if ( rx_flag ) begin                   //处于接收过程if (clk_cnt < BPS_CNT - 1) beginclk_cnt <= clk_cnt + 1'b1;rx_cnt  <= rx_cnt;endelse beginclk_cnt <= 16'd0;               //对系统时钟计数达一个波特率周期后清零rx_cnt  <= rx_cnt + 1'b1;       //此时接收数据计数器加1endendelse begin                              //接收过程结束,计数器清零clk_cnt <= 16'd0;rx_cnt  <= 4'd0;end
end//根据接收数据计数器来寄存uart接收端口数据
always @(posedge sys_clk or negedge sys_rst_n) begin if ( !sys_rst_n)  rxdata <= 8'd0;                                     else if(rx_flag)                            //系统处于接收过程if (clk_cnt == BPS_CNT/2) begin         //判断系统时钟计数器计数到数据位中间case ( rx_cnt )4'd1 : rxdata[0] <= uart_rxd_d1;   //寄存数据位最低位4'd2 : rxdata[1] <= uart_rxd_d1;4'd3 : rxdata[2] <= uart_rxd_d1;4'd4 : rxdata[3] <= uart_rxd_d1;4'd5 : rxdata[4] <= uart_rxd_d1;4'd6 : rxdata[5] <= uart_rxd_d1;4'd7 : rxdata[6] <= uart_rxd_d1;4'd8 : rxdata[7] <= uart_rxd_d1;   //寄存数据位最高位default:;                                    endcaseendelse rxdata <= rxdata;elserxdata <= 8'd0;
end//数据接收完毕后给出标志信号并寄存输出接收到的数据
always @(posedge sys_clk or negedge sys_rst_n) begin        if (!sys_rst_n) beginuart_data <= 8'd0;                               uart_done <= 1'b0;endelse if(rx_cnt == 4'd9) begin               //接收数据计数器计数到停止位时           uart_data <= rxdata;                    //寄存输出接收到的数据uart_done <= 1'b1;                      //并将接收完成标志位拉高endelse beginuart_data <= 8'd0;                                   uart_done <= 1'b0; end
endendmodule    
(4)发送模块
module uart_send(input         sys_clk,                  //系统时钟input         sys_rst_n,                //系统复位,低电平有效output        uart_tx_busy,             //发送忙状态标志 input         uart_en,                  //发送使能信号input  [7:0]  uart_din,                 //待发送数据     output  reg   uart_txd                  //UART发送端口);//parameter define
parameter  CLK_FREQ = 50000000;             //系统时钟频率
parameter  UART_BPS = 9600;                 //串口波特率
localparam BPS_CNT  = CLK_FREQ/UART_BPS;    //为得到指定波特率,对系统时钟计数BPS_CNT次//reg define
reg        uart_en_d0;
reg        uart_en_d1;
reg [15:0] clk_cnt;                         //系统时钟计数器
reg [ 3:0] tx_cnt;                          //发送数据计数器
reg [ 7:0] tx_data;                         //寄存发送数据
reg        tx_flag;                         //发送标志,高有效//wire define
wire       en_flag;//*****************************************************
//**                    main code
//*****************************************************//在串口发送过程中给出忙状态标志
assign uart_tx_busy = tx_flag;//捕获uart_en上升沿,得到一个时钟周期的脉冲信号
assign en_flag = (~uart_en_d1) & uart_en_d0;//对发送使能信号uart_en延迟两个时钟周期
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n) beginuart_en_d0 <= 1'b0;                                  uart_en_d1 <= 1'b0;end                                                      else begin                                               uart_en_d0 <= uart_en;                               uart_en_d1 <= uart_en_d0;                            end
end//当脉冲信号en_flag到达时,寄存待发送的数据,并进入发送过程
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n) begin                                  tx_flag <= 1'b0;tx_data <= 8'd0;end else if (en_flag) begin                 //检测到发送使能上升沿                      tx_flag <= 1'b1;                //进入发送过程,标志位tx_flag拉高tx_data <= uart_din;            //寄存待发送的数据endelse if ((tx_cnt == 4'd9)&&(clk_cnt == BPS_CNT - (BPS_CNT/16)))begin                               //计数到停止位中间时,停止发送过程tx_flag <= 1'b0;                //发送过程结束,标志位tx_flag拉低tx_data <= 8'd0;endelse begintx_flag <= tx_flag;tx_data <= tx_data;end
end//进入发送过程后,启动系统时钟计数器与发送数据计数器
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n) begin                             clk_cnt <= 16'd0;                                  tx_cnt  <= 4'd0;end                                                      else if (tx_flag) begin                 //处于发送过程if (clk_cnt < BPS_CNT - 1) beginclk_cnt <= clk_cnt + 1'b1;tx_cnt  <= tx_cnt;endelse beginclk_cnt <= 16'd0;               //对系统时钟计数达一个波特率周期后清零tx_cnt  <= tx_cnt + 1'b1;       //此时发送数据计数器加1endendelse begin                              //发送过程结束clk_cnt <= 16'd0;tx_cnt  <= 4'd0;end
end//根据发送数据计数器来给uart发送端口赋值
always @(posedge sys_clk or negedge sys_rst_n) begin        if (!sys_rst_n)  uart_txd <= 1'b1;        else if (tx_flag)case(tx_cnt)4'd0: uart_txd <= 1'b0;         //起始位 4'd1: uart_txd <= tx_data[0];   //数据位最低位4'd2: uart_txd <= tx_data[1];4'd3: uart_txd <= tx_data[2];4'd4: uart_txd <= tx_data[3];4'd5: uart_txd <= tx_data[4];4'd6: uart_txd <= tx_data[5];4'd7: uart_txd <= tx_data[6];4'd8: uart_txd <= tx_data[7];   //数据位最高位4'd9: uart_txd <= 1'b1;         //停止位default: ;endcaseelse uart_txd <= 1'b1;                   //空闲时发送端口为高电平
endendmodule              
(5)顶层模块
module rs485_uart_top(input           sys_clk,           //外部50M时钟input           sys_rst_n,         //外部复位信号,低有效input  [3:0]    key,               //按键output [3:0]    led,               //led灯//uart接口input           rs485_uart_rxd,    //rs485串口接收端口output          rs485_uart_txd     //rs485串口发送端口);//parameter define
parameter  CLK_FREQ = 50000000;        //定义系统时钟频率
parameter  UART_BPS = 115200;          //定义串口波特率//wire define
wire       tx_en_w;                    //UART发送使能
wire       rx_done_w;                  //UART接收完毕信号
wire [7:0] tx_data_w;                  //UART发送数据
wire [7:0] rx_data_w;                  //UART接收数据
wire [3:0] key_value_w;                //消抖后的按键数据//*****************************************************
//**                    main code
//*****************************************************
assign tx_data_w = {4'd0,key_value_w}; //将按键消抖后的值送到发送模块uart_recv #(                           //串口接收模块.CLK_FREQ       (CLK_FREQ),        //设置系统时钟频率.UART_BPS       (UART_BPS))        //设置串口接收波特率
u_uart_recv(                 .sys_clk        (sys_clk), .sys_rst_n      (sys_rst_n),.uart_rxd       (rs485_uart_rxd),.uart_done      (rx_done_w),.uart_data      (rx_data_w));uart_send #(                           //串口发送模块.CLK_FREQ       (CLK_FREQ),        //设置系统时钟频率.UART_BPS       (UART_BPS))        //设置串口发送波特率
u_uart_send(                 .sys_clk        (sys_clk),.sys_rst_n      (sys_rst_n),.uart_en        (tx_en_w),.uart_din       (tx_data_w),.uart_txd       (rs485_uart_txd)   );key_debounce u_key_debounce(.sys_clk        (sys_clk), .sys_rst_n      (sys_rst_n),.key            (key),.key_flag       (tx_en_w),         //按键有效通知信号.key_value      (key_value_w)      //按键消抖后的数据);led_ctrl u_led_ctrl(.sys_clk        (sys_clk), .sys_rst_n      (sys_rst_n),.led_en         (rx_done_w),       //led控制使能.led_data       (rx_data_w[3:0]),  //led控制数据.led            (led)
);endmodule
(6)RTL级原理图


正点原子资料下载

FPGA学习笔记—UART,RS485串口通信(verilog)相关推荐

  1. 韦东山学习笔记——UART(串口)的使用

    基于jz2440的串口使用 搬砖的文章 概述 UART的发送和接收 串口之间的数据传输 UART的用途 串口的数据帧参数说明 起始位 数据位 奇偶校验位 停止位 波特率 怎么发送一字节数据,比如'A' ...

  2. STM32学习笔记7(串口通信)

    一.简介 1.电平标准 2.串口参数及时序 串口通讯的数据包由发送设备通过自身的 TXD 接口传输到接收设备的 RXD 接口.它由起始位.数据位.校验位以及停止位组成,通讯双方的数据包格式要约定一致才 ...

  3. STM32学习笔记(四 串口通信 3 串口寄存器库函数配置)

    一.常用的串口寄存器 USART_SR状态寄存器 作用: 状态寄存器适用于检测串口此时所处的状态. 主要关注两个位:RXNE和TC(第5.6两位). RXNE(读数据寄存器非空): 当该位被置1的时候 ...

  4. FPGA学习笔记_UART串口协议_串口接收端设计

    FPGA学习笔记 1. UART串口协议以及串口接收端设计 1 原理图 2 Verilog 代码 3 Modelsim仿真 4. FPGA板级验证 1.1 串口协议接收端设计 目标:FPGA接收其他设 ...

  5. 【正点原子FPGA连载】 第十七章 RS485串口通信实验 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.0

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

  6. 英飞凌TC264学习(四)串口通信UART

    英飞凌TC264学习(四)串口通信UART 串口部分的函数在LQ_UART.c中 TC264有四路UART中断,需要中断可以来配置中断,与外部中断一样,中断服务函数,中断号,优先级,不需要中断的话就不 ...

  7. FPGA学习笔记(八)同步/异步信号的打拍分析处理及亚稳态分析

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  8. FPGA学习笔记_ROM核调用与调试

    FPGA学习笔记 ROM核调用与调试 1. ROM存储器IP核的使用 2. 创建.mif文件 3. In system memory content editor内存查看工具的使用 4. Signal ...

  9. 小梅哥FPGA学习笔记

    小梅哥FPGA学习笔记 一.38译码器 功能: 译码器其任一时刻的稳态输出,仅仅与该时刻的输入变量的取值有关,它是一种多输入多输出的组合逻辑电路,负责将二进制代码翻译为特定的对象(如逻辑电平等).38 ...

  10. 嵌入式学习笔记——STM32的USART通信概述

    文章目录 前言 常用通信协议分类及其特征介绍 通信协议 通信协议分类 1.同步异步通信 2.全双工/半双工/单工 3.现场总线/板级总线 4. 串行/并行通信 5. 有线通信.无线通信 STM32通信 ...

最新文章

  1. Matlab 2015a 中 pointCloud类相关知识
  2. python web开发 JavaScript基础
  3. java骨架_基于Mat变换的骨架提取Java
  4. emysql: ping VS without ping
  5. 20130830sqlplus使用及联机文档
  6. matlab排队模型和排队系统仿真
  7. 如何提取微信公众号内视频 (高清 无水印)
  8. CorelDraw软件快速入门
  9. pcm设备的注册流程
  10. Winform中HelpButton的用法总结
  11. python中复数的实部和虚部都是浮点数_Python基础:数值(布尔型、整型、长整型、浮点型、复数)...
  12. 【RQNOJ】460 诺诺的队列
  13. 广西外国语学院计算机考试真题,广西外国语学院综合素质测试面试题历年总结...
  14. excel中统计每一行中指定字体颜色和填充颜色的单元格求和(不使用VBA)
  15. 将微信聊天记录中的文件发送到邮箱
  16. 《淘宝技术这十年》读书笔记 (四). 分布式时代和中间件
  17. ubuntu20.04卸载virtualbox失败,“if your system is using efi secure boot you may need to sign the kernel “
  18. Netconf资料收集
  19. Linux系统管理-audit文件太多导致du -sh命令卡死
  20. 笔记:《机器学习训练秘籍》——吴恩达deeplearningai微信公众号推送文章

热门文章

  1. Android中调用文件管理器进行选择文件(记录)
  2. 软件测试是不是IT行业最容易学的 ,大概需要多长时间,就业前景好吗?
  3. 图片去水印工具(Teorex Inpaint) v7.1
  4. 利用cropper插件进行图片裁剪
  5. 光影精灵usb安装linux,惠普光影精灵5笔记本怎么装win10系统(uefi+gpt)
  6. 数据库迁移的几种方式
  7. postgresql 数据库迁移
  8. WireGuard 教程:使用 DNS-SD 进行 NAT-to-NAT 穿透
  9. 云台球型摄像机行业现状调研及趋势分析报告
  10. 移动端证件识别,支持离线识别