实现两个N位二进制数dataa、datab的乘积,用简单的方法计算就是利用移位操作来实现。dataa进行位扩展左移累加的操作,datab不便右移的操作。

module Multiply(
clk,rst,
dataa,datab,
dout
);
input clk;
input rst;
input [7:0] dataa;
input [7:0] datab;
output reg[15:0] dout;
parameter s1 = 0,s2=1,s3=2;//reg [7:0]dataareg;
reg [7:0]databreg;
reg [1:0]state;reg [15:0]tmp;
reg [15:0]dreg;
reg [2:0]count;
always@(posedge clk or negedge rst)
if(!rst)
begin
tmp<=16'b0;
databreg<=8'd0;
state<=0;
//state<=s1;
end
else
case(state)
s1:begin
//tmp<={{8{dataareg[7]}},dataareg};
dreg<=16'd0;
count<=3'd0;
tmp<={{8{dataa[7]}},dataa};
//$dispaly("number %d",tmp);
state<=s2;
databreg<=datab;
end
s2:begin
if(count==7)
state<=s3;
else begin
if(databreg[0]==1)
dreg<=tmp+dreg;
//else
databreg<=databreg>>1;
tmp <= tmp<<1;
//$
//$dispaly("number %d",tmp);
count =count+1;state<=s2;
end
end
s3:begin
dout<=dreg;
state<=s1;
end
endcase
endmodule

选择器件是cycloneII系列
环境是:quartusII11.0

转载于:https://www.cnblogs.com/ytfei1990/p/3647302.html

Verilog实现串/并行加法器相关推荐

  1. AD9910高速集成DDS芯片(verilog篇-串行模式篇-22个寄存器SPI通信周期控制)

    文章目录 0.引言 1.verilog思路 2.modelsim调试 3.总结 0.引言 经过对疫情期间某天下午写的代码进行,多次调试后,终于输出了波形,下面我们逐步跟着思路,对22个寄存器不同长度的 ...

  2. FPGA之道(35)Verilog中的并行与串行语句

    文章目录 前言 Verilog的并行语句 Verilog连续赋值语句 普通连续赋值语句 条件连续赋值语句 Verilog程序块语句 沿事件 纯组合always 纯时序always 具有同步复位的alw ...

  3. [转]常用数字处理算法的Verilog实现

      2.6.3 常用数字处理算法的Verilog实现 1.加法器的Verilog实现 串行加法器 组合逻辑的加法器可以利用真值表,通过与门和非门简单地实现.假设 和 表示两个加数, 表示和, 表示来自 ...

  4. 用verilog实现检测1的个数_[转]常用数字处理算法的Verilog实现

    2.6.3 常用数字处理算法的Verilog实现 1.加法器的Verilog实现 串行加法器 组合逻辑的加法器可以利用真值表,通过与门和非门简单地实现.假设 和 表示两个加数, 表示和, 表示来自低位 ...

  5. FPGA之道(84)功能仿真之Verilog Test Fixture

    文章目录 前言 Verilog Test Fixture "Hello world"之Verilog Test Fixture 待仿真设计 仿真示例 示例详解 仿真结果 继承描述语 ...

  6. AD9910高速集成DDS芯片(芯片阅读笔记-串行模式篇-22个寄存器SPI通信周期控制)

    文章目录 引言 串行编程 利用官方软件得到寄存器值 福利连接 引言 本文为AD9910的芯片阅读笔记,本文并不是对芯片手册的纯粹照搬,所以建议结合芯片手册阅读.通过对AD9910芯片手册的理解,然后再 ...

  7. FPGA笔试题解析(五):串并转换与奇分频电路

    题目:使用状态机实现序列检测器? 序列检测器的状态机实现,以前的博文写的很多,这里贴出两个简单易懂的,看需要的部分即可: 序列检测器的Moore状态机实现 序列检测器的Mealy状态机实现 题目:用V ...

  8. 基于FPGA的几种排序算法总结

    目录 1      冒泡法和比较排序法 1.1        算法原理 1.2        仿真结果 1.3        算法优缺点 2      并行全比较排序法 2.1        算法原理 ...

  9. FPGA篇(三)基于FPGA的几种排序算法

    目录 1      冒泡法和比较排序法 1.1        算法原理 1.2        仿真结果 1.3        算法优缺点 2      并行全比较排序法 2.1        算法原理 ...

最新文章

  1. IE访问历史记录恢复工具pasco
  2. dokuwiki 的管理和使用(补充)
  3. python爬虫笔记(三):提取(二)
  4. ibm服务器和微软,微软与IBM不得不说的事情
  5. 在单元测试和TDD中指定时间的重要性
  6. 五大维度深掘工业互联网数据价值
  7. Struts2校验器(一)之规格文件
  8. SQL Server 2008 R2 安装图文详解
  9. 推荐四款可视化工具,解决 99% 的可视化大屏项目!
  10. 100个在线生信小工具
  11. 英文原始文本的读取与处理
  12. python画图配色_科研作图有哪些「赏心悦目」的绘图主题和配色方案?
  13. 5G时代到底会发生什么
  14. 笔记三、最大数字(C++)
  15. 2005年全国信息学分区联赛模拟赛 猫猫的小鱼 题解
  16. Docker学习三 Docker安装Mysql
  17. 赵桐正 php,php面向对象-封装性
  18. 蓝桥杯(python)——机器人数目
  19. 某次ctf 中crypto的基础题
  20. C/C++编译器配置——MinGW下载安装

热门文章

  1. 明解C语言入门篇第三版 pdf 初读体会
  2. 世界各国人口相关指标(总人口、城镇/乡村人口及增长率、城镇化率、人口密度、男性/女性人口及增长率)1960-2021
  3. 青软集团U+新工科智慧云平台助力西北民族大学编程竞赛成功举办!
  4. 人物模型3d模型素材推荐 精品 小众
  5. 新版NFT数藏源码/可接支付+数字藏品系统
  6. IDEA安装TFS插件
  7. 计算机毕业设计springboot社区志愿者管理系统的设计与实现【前后端分离·新项目】
  8. 小米手机连接青花瓷抓包(https)
  9. 华为交换机traffic策略设置
  10. H3C WX2510H无线控制器开局如何简单配置