1)实验平台:正点原子MiniPro H750开发板
2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560
3)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-336836-1-1.html
4)对正点原子STM32感兴趣的同学可以加群讨论:879133275

第四十二章 FLASH模拟EEPROM实验

STM32H750本身没有自带EEPROM,但是STM32H750具有IAP(在应用编程)功能,所以我们可以把它的FLASH当成EEPROM来使用。本章,我们将利用STM32H750内部的FLASH来实现第三十六章实验类似的效果,不过这次我们是将数据直接存放在STM32H750内部,而不是存放在NOR FLASH。
本章分为如下几个小节:
42.1 STM32H750 FLASH简介
42.2 硬件设计
42.3 程序设计
42.4 下载验证

42.1 STM32H750 FLASH简介

STM32H750内部只有128K FLASH,仅有1个扇区,STM32H750的闪存模块组织如表42.1.1所示:
块 名称 FLASH起始地址 大小
BANK1 扇区0 0X0800 0000-0X0801 FFFF 128K
系统存储器 0X1FF0 0000-0X1FF1 FFFF 128K
表42.1.1 STM32H750闪存模块组织
STM32H750为了节省成本,内部仅包含1个用户扇区(Sector0),大小为128K。另外,内部还有一个128K的系统存储区,用于存储ST自己的BootLoader程序等,不过这个128K用户是无法访问的。
关于STM32H750内部FLASH的详细说明,详见《STM32H7xx参考手册_V7(英文版)》第4.3节相关内容。
在执行闪存写操作时,任何对闪存的读操作都会锁住总线,在写操作完成后读操作才能正确地进行。既在进行写或擦除操作时,不能进行代码或数据的读取操作。
42.1.1 闪存的读取
为了准确读取 Flash 数据,必须根据ACLK 时钟 (rcc_aclk) 频率和Vcore电压范围在 Flash 存取控制寄存器 (FLASH_ACR) 中正确地设置等待周期数 (LATENCY)。Flash 等待周期与ACLK时钟频率之间的对应关系,如表42.1.1.2所示:

表42.1.1.2 ACLK时钟(rcc_aclk)频率对应的FLASH等待周期表
等待周期通过FLASH_ACR寄存器的LATENCY[2:0]三个位设置。系统复位后,CPU时钟频率为内部64M RC振荡器(HSI),LATENCY默认是0,即0个等待周期。为了得到更佳的FLASH访问性能,我们设置Vcore电压范围为VOS1级别(1.15V~1.26V)。rcc_aclk和rcc_hclk3的频率是一样的,都是来自RCC_D1CFGR的HPRE[3:0]分频,rcc_hclk3我们一般设置的是240Mhz,这样rcc_aclk也是240Mhz的频率。我们设置等待周期为4(LATENCY[2:0]=4),否则FLASH读写可能出错,导致死机。寄存器的设置和HAL库的是不一样的,这个请大家注意一下。这个知识点我们在11.2.1小节讲解过,请回顾。
STM32H750的FLASH读取是很简单的。例如,我们要从地址addr,读取一个字(一个字为32位),可以通过如下的语句读取:
Data = *(volatile uint32_t *)faddr;
将faddr强制转换为volatile uint32_t指针,然后取该指针所指向的地址的值,即得到了faddr地址的值。类似的,将上面的volatile uint32_t改为volatile uint8_t,即可读取指定地址的一个字节。相对FLASH读取来说,STM32H750 FLASH的写就复杂一点了,下面我们介绍STM32H750闪存的编程和擦除。
42.1.2 闪存的编程和擦除
在对 STM32H750的Flash执行写入或擦除操作期间,任何读取Flash的尝试都会导致总线阻塞。只有在完成编程操作后,才能正确处理读操作。这意味着,写/擦除操作进行期间不能从Flash中执行代码或数据获取操作。
特别注意:因为STM32H750内部仅有1个扇区,所以在执行对该扇区的擦除或者写入操作时,是无法执行内部FLASH代码的,因此,必须外扩QSPI FLASH,将擦除/编程内部扇区相关的代码放到外部QSPI FLASH,这样才可以实现对内部FLASH的正常擦除及写入操作。
STM32H750用户闪存的编程一般由5个32位寄存器控制,他们分别是:
FLASH访问控制寄存器(FLASH_ACR)
FLASH秘钥寄存器1(FLASH_KEYR1)
FLASH状态寄存器1(FLASH_SR1)
FLASH控制寄存器1(FLASH_CR1)
FLASH清除与控制寄存器1(FLASH_CCR1)
注意:这里的FLASH_KEYR1、FLASH_SR1 、FLASH_CR1、FLASH_CCR1分别对应Bank1的相关寄存器,所以单个Bank的控制寄存器由:FLASH_KEYR、SR、CR和CCR等四个寄存器控制。下面,我们直接以FLASH_KEYR、FLASH_CR、FLASH_SR和FLASH_CCR来介绍相关操作。
STM32H750复位后,FLASH编程操作是被保护的,不能写入FLASH_CR寄存器;通过写入特定的序列(0X45670123和0XCDEF89AB)到FLASH_KEYR寄存器才可解除写保护,只有在写保护被解除后,我们才能操作相关寄存器。
FLASH_CR的解锁序列为:
1,写0X45670123到FLASH_KEYR
2,写0XCDEF89AB到FLASH_KEYR
通过这两个步骤,即可解锁FLASH_CR,如果写入错误,那么FLASH_CR将被锁定,直到下次复位后才可以再次解锁。
STM32H750闪存的编程位数固定为256位,也就是每次写入数据必须为8个字(32字节),如果不够8个字,可以在后面进行补零写入,否则后续的内容将不可预知。而且,写入首地址必须是32的整数倍,否则会影响前后数据。
举例来说,假设我们要往:0X0810 0000这个地址写入一个字节的数据,则写入一个字节数据的同时,也会影响接下来31字节的内容,因此,如果你只需要写入一个字节,则可以将后面的31字节全部填充成0,然后组成一个32字节数组(256位),一次写入。而且,如果你写入数据的首地址不是32的倍数,比如往0X0810 0004这里,写入1个字节数据,则会把地址:0X0810 0000 ~ 0X0810 0003的数据也损坏掉(擦除)。所以,记住STM32H7 FLASH写入的规则:写入首地址必须是32的倍数,写入数据长度必须是32字节的倍数。
FLASH配置步骤
STM32H750的FLASH在编程的时候,也必须要求其写入地址的FLASH是被擦除了的(也就是其值必须是0XFFFFFFFF),否则无法写入。STM32H750的标准编程步骤如下:
1,检查FLASH_CR的LOCK是否解锁,如果没有则先解锁
2,检查FLASH_SR中的BSY位,确保当前未执行任何FLASH操作。
3,设置FLASH_CR寄存器的PSIZE[1:0]为2,按字写入(32位写入)。
4,将FLASH_CR寄存器中的PG位置1,激活FLASH编程。
5,在指定的存储器地址,写入数据(一次写入32字节,不能超过32字节)
6,等待BSY位清零,完成一次编程。
按以上六步操作,就可以完成一次FLASH编程。不过需要注意:编程前,要确保要写如地址的FLASH已经擦除。
在STM32H750的FLASH编程的时候,要先判断缩写地址是否被擦除了,所以,我们有必要再介绍一下STM32H750的闪存擦除,STM32H750的闪存擦除分为两种:扇区擦除和块擦除。
扇区擦除步骤如下:
1,检查FLASH_CR的LOCK是否解锁,如果没有则先解锁。
2,检查FLASH_SR寄存器中的BSY 位,确保当前未执行任何FLASH操作。
3,在FLASH_CR寄存器中,将SER位置1,并设置SNB=0(只有1个扇区,扇区0)。
4,将FLASH_CR寄存器中的START位置1,触发擦除操作。
5,等待BSY位清零。
经过以上五步,就可以擦除某个扇区。本章,我们只用到了STM32H750的扇区擦除功能。块擦除功能我们在这里就不介绍了,想了解的朋友请看《STM32H7xx参考手册_V7(英文版).pdf》的相关内容。
42.1.3 FLASH寄存器
Flash访问控制寄存器(FLASH_ACR)
Flash访问控制寄存器描述如图42.1.3.1所示:

图42.1.3.1 FLASH_ACR寄存器
WRHIGHFREQ[1:0]位,用于控制FLASH编程操作时的延迟,必须根据FLASH操作频率(rcc_aclk)进行正确的设置:00,rcc_aclk≤85Mhz;01,rcc_aclk≤185Mhz;10,rcc_aclk≤285Mhz;
11,rcc_aclk≤385Mhz;我们的rcc_aclk设置的是240Mhz,设置WRHIGHFREQ[1:0]=10即可。
LATENCY[2:0]位,用于控制FLASH读延迟,必须根据我们MCU内核的工作电压和频率,来进行正确的设置,否则,可能死机,设置规则见表42.1.1.2。
存储区1的FLASH密钥寄存器(FLASH_KEYR1)
存储区1的FLASH密钥寄存器描述如图42.1.3.2所示:

图42.1.3.2 FLASH_KEYR1寄存器
该寄存器主要用来解锁FLASH_CR,必须在该寄存器写入特定的序列(KEY1和KEY2)解锁后,才能对FLASH_CR寄存器进行写操作。
存储区1的FLASH控制寄存器(FLASH_CR1)
存储区1的FLASH控制寄存器描述如图42.1.3.3所示:

图42.1.3.3 FLASH_CR1寄存器
LOCK位,该位用于指示FLASH_CR寄存器是否被锁住,该位在检测到正确的解锁序列后,硬件将其清零。在一次不成功的解锁操作后,在下次系统复位之前,该位将不再改变。
PG位,该位用于选择编程操作,在往FLASH写数据的时候,该位需要置1。
SER位,该位用于选择扇区擦除操作,在扇区擦除的时候,需要将该位置1。
PSIZE[1:0]位,用于设置编程宽度,我们一般设置PSIZE =2即可(32位)。
SATRT位,该位用于开始一次擦除操作。在该位写入1 ,将执行一次擦除操作。
SNB[2:0]位,这3个位用于选择要擦除的扇区编号,取值范围为0~7,H750只能设为0。
FLASH_CR的其他位,我们就不在这里介绍了,请大家参考《STM32H7xx参考手册_V7(英文版).pdf》 。
存储区1的FLASH状态寄存器(FLASH_SR1)
存储区1的FLASH状态寄存器描述如图42.1.3.4所示:

图42.1.3.4 FLASH_SR1寄存器
BSY位:表示BANK当前正在执行编程操作,必须等待该位为0,才可以执行其他操作。
WBNE位:表示BANK写BUFFER是否为空。当该位为1时,表示写BUFFER里面还有数据待写入FLASH,需要等待该位为0,才表示数据写入全部完成了。
QW位:表示操作序列里面是否还有编程操作需要执行,需要等待该位为0,才表示所有的编程操作完成了。
最后,FLASH清除控制寄存器FLASH_CCR用于清除相关错误,这里我们就不做介绍了,详见《STM32H7xx参考手册_V7(英文版).pdf》第3.9.6节。

42.2 硬件设计

  1. 例程功能
    按键KEY1控制写入FLASH的操作,按键KEY0控制读出操作,并在TFTLCD模块上显示相关信息,还可以借助USMART进行读取或者写入操作。LED0闪烁用于提示程序正在运行。
  2. 硬件资源
    1)RGB灯
    RED :LED0 - PB4
    2)串口1(PA9/PA10连接在板载USB转串口芯片CH340上面)
    3)正点原子2.8/3.5/4.3/7/10寸TFTLCD模块(仅限MCU屏,16位8080并口驱动)
    4)独立按键 :KEY0 - PA1、KEY1 - PA15
    42.3 程序设计
    42.3.1 FLASH的HAL库驱动
    FLASH在HAL库中的驱动代码在stm32h7xx_hal_flash.c和stm32h7xx_hal_flash_ex.c文件(及其头文件)中。
  3. HAL_FLASH_Unlock函数
    解锁闪存控制寄存器访问的函数,其声明如下:
    HAL_StatusTypeDef HAL_FLASH_Unlock(void);
    函数描述:
    用于解锁闪存控制寄存器的访问,在对FLASH进行写操作前必须先解锁,解锁操作也就是必须在FLASH_KEYR寄存器写入特定的序列(KEY1和KEY2)。
    函数形参:无
    函数返回值:HAL_StatusTypeDef枚举类型的值。
  4. HAL_FLASH_Lock函数
    锁定闪存控制寄存器访问的函数,其声明如下:
    HAL_StatusTypeDef HAL_FLASH_Lock (void);
    函数描述:
    用于锁定闪存控制寄存器的访问。
    函数形参:无
    函数返回值:HAL_StatusTypeDef枚举类型的值。
  5. HAL_FLASHEx_Erase函数
    闪存擦除函数,其声明如下:
    HAL_StatusTypeDef HAL_FLASHEx_Erase(FLASH_EraseInitTypeDef *pEraseInit,
    uint32_t *SectorError);
    函数描述:
    该函数用于大量擦除或擦除指定的闪存扇区。
    函数形参:
    形参1是FLASH_EraseInitTypeDef结构体类型指针变量。
    形参2是uint32_t类型指针变量,存放错误码,0xFFFFFFFF值表示扇区已被正确擦除,其它值表示擦除过程中的错误扇区。。
    函数返回值:HAL_StatusTypeDef枚举类型的值。
  6. FLASH_WaitForLastOperation函数
    等待FLASH操作完成函数,其声明如下:
    HAL_StatusTypeDef FLASH_WaitForLastOperation(uint32_t Timeout, uint32_t Bank);
    函数描述:
    该函数用于等待FLASH操作完成。
    函数形参:
    形参1是FLASH操作超时时间。
    形参2是等待BANK1还是BANK2操作完成,这里我们用的H750只能选择BANK1。
    函数返回值:
    HAL_StatusTypeDef枚举类型的值。
    42.3.2 程序流程图

图42.3.2.1 FLASH模拟EEPROM实验程序流程图
42.3.3 程序解析

  1. STMFLASH驱动代码
    这里我们只讲解核心代码,详细的源码请大家参考光盘本实验对应源码。STMFLASH驱动源码包括两个文件:stmflash.c和stmflash.h。
    stmflash.h头文件做了一些比较重要的宏定义,定义如下:
/*****************************************************************************/
/* FLASH起始地址 */
#define STM32_FLASH_BASE        0x08000000  /* STM32 FLASH的起始地址 */
#define STM32_FLASH_SIZE        0x20000     /* STM32 FLASH总大小 */
#define BOOT_FLASH_SIZE         0x4000      /* 前16K FLASH用于保存BootLoader */
#define FLASH_WAITETIME         50000       /* FLASH等待超时时间 *//* FLASH 扇区的起始地址,H750xx只有BANK1的扇区0有效,共128KB */
#define BANK1_FLASH_SECTOR_0    ((uint32_t)0x08000000)  /* Bank1扇区0起始地址 */
/*****************************************************************************/

STM32_FLASH_BASE和STM32_FLASH_SIZE分别是FLASH的起始地址和FLASH总大小,这两个宏定义随着芯片是固定的,我们开发板的H750芯片的FLASH是128K字节,所以STM32_FLASH_SIZE宏定义值为0x20000。
比较重要的一个宏定义是BOOT_FLASH_SIZE,因为这个宏定义需要用户根据自己工程的大小设置的。设置方法如下:首先编译我们的工程,通过查看.map文件,查询并计算出程序加载都STM32内部FLASH的指令内存的大小,最后根据这个大小来定义这个宏的值。这里,我们直接编译HAL库实验30 FLASH模拟EEPROM实验的例程,然后如下图操作:

图42.3.3 查看程序加载占用FLASH的大小
首先双击FLASH打开.map文件,然后查询到Execution Region ER_m_stmflash。如图中所示,得到加载到STMFLASH的程序占用的内存大小约为14.2KB。
因此,BOOT_FLASH_SIZE宏定义的值我们设置为0x4000(即16KB),表示预留STMFLASH的前16K的内存用于保存BootLoader。在本工程中,BOOT_FLASH_SIZE宏定义的值要满足几个条件,一是必须大于14.2KB,二是必须是4的倍数,三是预留一定的空间给BootLoader执行过程的内存消耗。
FLASH_WAITETIME是FLASH等待超时时间的宏定义。
BANK1_FLASH_SECTOR_0是Bank1扇区0起始地址,H750xx就只有BANK1的扇区0有效,共128KB。
下面我们开始介绍stmflash.c的程序,具体程序源码如下:

/*** @brief       得到FLASH的错误状态* @param       无* @retval      错误代码*   @arg       0   , 无错误*   @arg       其他, 错误编号*/
static uint8_t stmflash_get_error_status(void)
{uint32_t res = 0;res = FLASH->SR1;if (res & (1 << 17)) return 1;  /* WRPERR=1,写保护错误 */if (res & (1 << 18)) return 2;  /* PGSERR=1,编程序列错误 */if (res & (1 << 19)) return 3;  /* STRBERR=1,复写错误 */if (res & (1 << 21)) return 4;  /* INCERR=1,数据一致性错误 */if (res & (1 << 22)) return 5;  /* OPERR=1,写/擦除错误 */if (res & (1 << 23)) return 6;  /* RDPERR=1,读保护错误 */if (res & (1 << 24)) return 7;  /* RDSERR=1,非法访问加密区错误 */if (res & (1 << 25)) return 8;  /* SNECCERR=1,1bit ecc校正错误 */if (res & (1 << 26)) return 9;  /* DBECCERR=1,2bit ecc错误 */return 0;   /* 没有任何状态/操作完成. */
}/*** @brief       等待操作完成* @param       time : 要延时的长短* @retval      错误代码*   @arg       0   : 已完成*   @arg       1~9 : 错误代码*   @arg       0XFF: 超时*/
static uint8_t stmflash_wait_done(uint32_t time)
{uint8_t res = 0;uint32_t tempreg = 0;while (1){tempreg = FLASH->SR1;if ((tempreg & 0X07) == 0){break;  /* BSY=0,WBNE=0,QW=0,则操作完成 */}time--;if (time == 0)return 0XFF;}res = stmflash_get_error_status();if (res){FLASH->CCR1 = 0X07EE0000;   /* 清所有错误标志 */}return res;
}/*** @brief       在FLASH指定地址写8个字,即256bit*   @note      必须以256bit为单位(32字节)编程!!* @param       faddr : 指定地址(此地址必须为4的倍数!!)* @param       pdata : 要写入的数据* @retval      错误代码*   @arg       0   : 写入成功*   @arg       其他: 错误代码*/
static uint8_t stmflash_write_8word(uint32_t faddr, uint32_t *pdata)
{volatile uint8_t nword = 8; /* 每次写8个字,256bit */uint8_t res;res = stmflash_wait_done(0XFFFF);if (res == 0)       /* OK */{FLASH->CR1 &= ~(3 << 4);    /* PSIZE1[1:0]=0,清除原来的设置 */FLASH->CR1 |= 2 << 4;     /* 设置为32bit宽 */FLASH->CR1 |= 1 << 1;      /* PG1=1,编程使能 */while (nword){*(volatile uint32_t *)faddr = *pdata;   /* 写入数据 */faddr += 4;             /* 写地址+4 */pdata++;              /* 偏移到下一个数据首地址 */nword--;}__DSB();                  /* 写操作完成后,屏蔽数据同步,使CPU重新执行指令序列 */res = stmflash_wait_done(0XFFFF);   /* 等待操作完成,一个字编程,最多100us. */FLASH->CR1 &= ~(1 << 1);/* PG1=0,清除扇区擦除标志 */}return res;
}/*** @brief       读取指定地址的一个字(32位数据)* @param       faddr : 要读取的地址* @retval      读取到的数据*/
uint32_t stmflash_read_word(uint32_t faddr)
{return *(volatile uint32_t *)faddr;
}/*** @brief     从指定地址开始写入指定长度的数据*   @note    特别注意:因为STM32H750只有一个扇区(128K),因此我们规定:
前16K留作BootLoader用*             后112K用作APP用,我们要做写入测试,尽量使用16K以后的地址,否则容易出问题*              另外,由于写数据时,必须是0XFF才可以写入数据,因此不可避免的需要擦除扇区*              所以在擦除时需要先对前16K数据做备份保存(读取到RAM),然后再写入,以保证*              前16K数据的完整性。且执行写入操作的时候,不能发生任何中断(凡是在写入时执*              行内部FLASH代码,必将导致hardfault)。* @param    waddr : 起始地址(此地址必须为32的倍数!!,否则写入出错!)* @param    pbuf  : 数据指针* @param    length: 字(32位)数(就是要写入的32位数据的个数,一次至少写入32字节,即8个字)* @retval      无*//* FLASH 写入数据缓存 */
uint32_t g_flashbuf[BOOT_FLASH_SIZE / 4];void stmflash_write(uint32_t waddr, uint32_t *pbuf, uint32_t length)
{FLASH_EraseInitTypeDef flash_erase_init_handle;HAL_StatusTypeDef hal_status = HAL_OK;uint32_t SectorError = 0;uint32_t addrx = 0;uint32_t endaddr = 0;uint16_t wbfcyc = BOOT_FLASH_SIZE/32;/* 写bootflashbuf时,需要执行的循环数 */uint32_t *wbfptr;uint32_t wbfaddr;
/* 写入地址小于STM32_FLASH_BASE+BOOT_FLASH_SIZE,非法. */if (waddr < (STM32_FLASH_BASE + BOOT_FLASH_SIZE))return;   /* 写入地址大于STM32总FLASH地址范围,非法. */if (waddr > (STM32_FLASH_BASE + STM32_FLASH_SIZE))return; if (waddr % 32)return;          /* 写入地址不是32字节倍数,非法. */HAL_FLASH_Unlock();              /* 解锁 */addrx = waddr;                    /* 写入的起始地址 */endaddr = waddr + length * 4;  /* 写入的结束地址 */while (addrx < endaddr)         /* 扫清一切障碍.(对非FFFFFFFF的地方,先擦除) */
{/* 有非0XFFFFFFFF的地方,要擦除这个扇区 */if (stmflash_read_word(addrx) != 0XFFFFFFFF) {
/* 读出BOOT_FLASH_SIZE大小数据 */stmflash_read(STM32_FLASH_BASE, g_flashbuf, BOOT_FLASH_SIZE / 4);  INTX_DISABLE(); /* 禁止所有中断 */
/* 擦除类型,扇区擦除 */flash_erase_init_handle.TypeErase = FLASH_TYPEERASE_SECTORS;    flash_erase_init_handle.Sector = FLASH_SECTOR_0; /* 要擦除的扇区 */flash_erase_init_handle.Banks = FLASH_BANK_1;    /* 操作BANK1 */flash_erase_init_handle.NbSectors = 1;         /* 一次只擦除一个扇区 */
/* 电压范围,VCC=2.7~3.6V之间 */flash_erase_init_handle.VoltageRange = FLASH_VOLTAGE_RANGE_3;  hal_status =HAL_FLASHEx_Erase(&flash_erase_init_handle,&SectorError);if (hal_status != HAL_OK)   /* 发生错误了 */{INTX_ENABLE();              /* 允许中断 */break;                    /* 发生错误了 */}SCB_CleanInvalidateDCache();        /* 清除无效的D-Cache */wbfptr = g_flashbuf;                 /* 指向g_flashbuf首地址 */wbfaddr = STM32_FLASH_BASE;       /* 指向STM32 FLASH首地址 */while (wbfcyc)                    /* 写数据 */{if (stmflash_write_8word(wbfaddr, wbfptr))  /* 写入数据 */{break;     /* 写入异常 */}wbfaddr += 32;wbfptr += 8;wbfcyc--;}INTX_ENABLE();   /* 允许中断 */}else{addrx += 4;       /* 偏移到下一个位置 */}
/* 等待上次操作完成 */FLASH_WaitForLastOperation(FLASH_WAITETIME, FLASH_BANK_1);
}
/* 等待上次操作完成 */hal_status = FLASH_WaitForLastOperation(FLASH_WAITETIME, FLASH_BANK_1); if (hal_status == HAL_OK){while (waddr < endaddr) /* 写数据 */{if (stmflash_write_8word(waddr, pbuf))  /* 写入数据 */{break;  /* 写入异常 */}waddr += 32;pbuf += 8;}}HAL_FLASH_Lock();           /* 上锁 */
}/*** @brief       从指定地址开始读出指定长度的数据* @param       raddr : 起始地址* @param       pbuf  : 数据指针* @param       length: 要读取的字(32)数,即4个字节的整数倍* @retval      无*/
void stmflash_read(uint32_t raddr, uint32_t *pbuf, uint32_t length)
{uint32_t i;for (i = 0; i < length; i++){pbuf[i] = stmflash_read_word(raddr);    /* 读取4个字节. */raddr += 4; /* 偏移4个字节. */}
}
/*****************************************************************************/
/* 测试用代码 *//*** @brief       测试写数据(写1个字)* @param       waddr : 起始地址* @param       wdata : 要写入的数据* @retval      读取到的数据*/
void test_write(uint32_t waddr, uint32_t wdata)
{stmflash_write(waddr, &wdata, 1);   /* 写入一个字 */
}

该部分代码,我们重点介绍一下stmflash_write函数,该函数用于在STM32H750的指定地址写入指定长度的数据,有几个要注意的点:
1,写入地址必须是在BOOT_FLASH_SIZE以后。
2,写入地址必须是32的倍数。
3,单次写入长度必须是32字节的倍数(8个字)。
第1点重点说明一下,BOOT_FLASH_SIZE是我们在stmflash.h里面定义的一个宏定义,其值为:0X4000,即16K,也就是写入数据必须在16K以后的地址(0X0800 0000 + 0X4000)写入。因为STM32H750内部仅有1个扇区,为了方便做IAP应用,必须把这一个扇区分为2部分:IAP部分和APP部分,我们预留16K地址范围给IAP,所以本例程写入地址必须在16K以后,以便后面的IAP应用。
另外,由于H750内部只有1个扇区,在擦除扇区的时候,连带所有数据都擦掉了(IAP也擦了),所以,为了能够实现保留IAP的效果,我们在stmflash_write函数里面,执行擦除扇区之前,会先备份前16K的数据,将前16K数据保存到SRAM,然后再擦除扇区,擦除完了以后,再从SRAM里面恢复这16K数据到扇区里面去,这样就可以实现类似擦除扇区但是仍保留前16K数据的效果。需要特别注意的是:在擦除扇区或写入扇区数据的时候,不能执行任何内部FLASH上面的代码!所有相关的代码必须存放到外部QSPI FLASH。体现到本例程就是:stmflash.c的代码,都应该存放到外部QSPI FLASH,而不能存放到H750内部FLASH。
第2点和第3点则是由于STM32H7的FLASH特性,每次写入必须是256位宽,也就是32字节,因此写入首地址必须是32字节的倍数,且写入数据长度必须是32字节的倍数。
另外,在STMFLASH_Write8Word函数里面,有一个__DSB函数,该函数用于屏蔽数据同步,该函数在cmsis_armcc.h里面定义,这里在执行等待操作完成之前,必须调用该函数,否则将无法往FLASH写入数据。
由于我们使用了分散加载(qspi_code.scf),stmflash.c编译后是自动存放到外部QSPI FLASH的,所以不需要做额外的设置。关于分散加载说明,详见:8.2小节。
2. main.c代码
在main.c里面编写如下代码:
/* 要写入到STM32 FLASH的字符串数组 */

const uint8_t g_text_buf[] = {"STM32 FLASH TEST"};#define TEXT_LENTH sizeof(g_text_buf)           /* 数组长度 *//*SIZE表示字长(4字节), 大小必须是4的整数倍, 如果不是的话, 强制对齐到4的整数倍 */
#define SIZE  TEXT_LENTH / 4 + ((TEXT_LENTH % 4) ? 1 : 0)/* 设置FLASH 保存地址(必须大于用户代码区地址范围,且为4的倍数) */
#define FLASH_SAVE_ADDR     0X08004000         int main(void)
{uint8_t key = 0;uint16_t i = 0;uint8_t datatemp[SIZE];sys_cache_enable();                        /* 打开L1-Cache */HAL_Init();                                 /* 初始化HAL库 */sys_stm32_clock_init(240, 2, 2, 4);    /* 设置时钟, 480Mhz */delay_init(480);                          /* 延时初始化 */usart_init(115200);                      /* 串口初始化为115200 */usmart_dev.init(240);                     /* 初始化USMART */mpu_memory_protection();                 /* 保护相关存储区域 */led_init();                                   /* 初始化LED */lcd_init();                                     /* 初始化LCD */key_init();                                     /* 初始化按键 */lcd_show_string(30, 50, 200, 16, 16, "STM32", RED);lcd_show_string(30, 70, 200, 16, 16, "FLASH EEPROM TEST", RED);lcd_show_string(30, 90, 200, 16, 16, "ATOM@ALIENTEK", RED);lcd_show_string(30, 110, 200, 16, 16, "KEY1:Write  KEY0:Read", RED);while (1){key = key_scan(0);if (key == KEY1_PRES)   /* KEY1按下,写入STM32 FLASH */{lcd_fill(0, 150, 239, 319, WHITE);  /* 清除半屏 */lcd_show_string(30, 150, 200, 16, 16, "Start Write FLASH....", RED);stmflash_write(FLASH_SAVE_ADDR, (uint32_t *)g_text_buf, SIZE);
/* 提示传送完成 */lcd_show_string(30, 150, 200, 16, 16, "FLASH Write Finished!", RED); }if (key == KEY0_PRES)   /* KEY0按下,读取字符串并显示 */{lcd_show_string(30, 150, 200, 16, 16, "Start Read FLASH.... ", RED);stmflash_read(FLASH_SAVE_ADDR, (uint32_t *)datatemp, SIZE);
/* 提示传送完成 */lcd_show_string(30, 150, 200, 16, 16, "The Data Readed Is:  ", RED);
/* 显示读到的字符串 */lcd_show_string(30, 170, 200, 16, 16, (char*)datatemp, BLUE);    }i++;delay_ms(10);if (i == 20){LED0_TOGGLE();  /* 提示系统正在运行 */i = 0;}}
}

主函数代码逻辑比较简单,当检测到按键KEY1按下后往FLASH指定地址开始的连续地址空间写入一段数据,当检测到按键KEY0按下后读取FLASH指定地址开始的连续空间数据。最后,我们将stmflash_read_word和test_write函数加入USMART控制,这样,我们就可以通过串口调试助手,调用STM32H750的FLASH读写函数,方便测试。
42.4 下载验证
将程序下载到开发板后,可以看到LED0不停的闪烁,提示程序已经在运行了。LCD显示的内容如图42.4.1所示:

图42.4.1程序运行效果图
通过先按KEY1按键写入数据,然后按KEY0读取数据,得到如图42.4.2所示:

图42.4.2 操作后的显示效果图
本实验的测试,我们还可以借助USMART,调用:stmflash_read_word和test_write函数进行测试!

【正点原子STM32连载】第四十二章 FLASH模拟EEPROM实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1相关推荐

  1. 【正点原子STM32连载】 第四十五章 FLASH模拟EEPROM实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

    第四十五章 FLASH模拟EEPROM实验 STM32本身没有自带EEPROM,但是STM32具有IAP(在应用编程)功能,所以我们可以把它的FLASH当成EEPROM来使用.本章,我们将利用STM3 ...

  2. 【正点原子FPGA连载】 第二十二章 HDMI方块移动实验 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.0

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

  3. 【正点原子STM32连载】 第二十六章 USMART调试组件实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  4. 【正点原子FPGA连载】 第二十九章TFT LCD画板实验 摘自【正点原子】DFZU2EG_4EV MPSoC之嵌入式Vitis开发指南

    1)实验平台:正点原子MPSoC开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=692450874670 3)全套实验源码+手册+视频下载地址: h ...

  5. 【正点原子MP157连载】第二十六章 DS18B20数字温度传感器实验-摘自【正点原子】STM32MP1 M4裸机CubeIDE开发指南

    1)实验平台:正点原子STM32MP157开发板 2)购买链接:https://item.taobao.com/item.htm?&id=629270721801 3)全套实验源码+手册+视频 ...

  6. 【正点原子FPGA连载】第十九章FreeRtos Hello World实验 摘自【正点原子】DFZU2EG_4EV MPSoC之嵌入式Vitis开发指南

    1)实验平台:正点原子MPSoC开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=692450874670 3)全套实验源码+手册+视频下载地址: h ...

  7. 【正点原子MP157连载】 第十六章 UART串口通信实验-摘自【正点原子】STM32MP1嵌入式Linux驱动开发指南V1.7

    1)实验平台:正点原子STM32MP157开发板 2)购买链接:https://item.taobao.com/item.htm?&id=629270721801 3)全套实验源码+手册+视频 ...

  8. 【正点原子STM32连载】第五十七章 USB读卡器(Slave)实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  9. 【正点原子FPGA连载】第二十五章HDMI方块移动实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

    1)实验平台:正点原子新起点V2开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=609758951113 2)全套实验源码+手册+视频下载地址:ht ...

最新文章

  1. 设计模式 — 创建型模式 — 建造者模式
  2. 【计算机网络】传输层 : TCP 连接管理 ( TCP 连接建立 | 三次握手 | TCP 连接释放 | 四次挥手 )
  3. MLPerf最新AI芯片跑分:谷歌TPU和英伟达打破记录
  4. 配置CentOS的网络环境
  5. 代码审计——命令执行
  6. ASP.net Core MVC项目给js文件添加版本号
  7. spark学习-Spark算子Transformations和Action使用大全(Transformations章(一))
  8. linux系统修改用户名密码忘记,Linux下修改/找回root密码
  9. rsa PHP用法,RSA常见用法整理
  10. 下载文件扩展名php,[宜配屋]听图阁
  11. python插入排序_python简单的实现插入排序和二分插入排序
  12. [Android]SurfaceView绘制奔跑的小人
  13. html加拼音注释,《弟子规》全文带拼音注释(下)
  14. java 计算天数差_java中计算两个日期之间差的天数
  15. 图中几个常见算法总结
  16. 装修不忘适老 爱老敬老从适老装修细节做起
  17. 昆仑通态人机界面与单片机通信实战教程一:工程界面的设计
  18. fest556_支持键盘映射的FEST-Swing 1.2rc
  19. 没有伞的孩子要努力奔跑
  20. ES-aggregations

热门文章

  1. stringWithFormat:用法及注意事项
  2. 线程池 allowCoreThreadTimeOut 小知识
  3. 共享软件设置WIN7无线热点
  4. 安泰测试Agitek-TH2817A型LCR数字电桥
  5. 算法导论 思考题 9-3
  6. Linux arm 集成busybox telnetd 功能遇到的坑
  7. 长沙IT技术圈百万年薪大佬?是否存在?
  8. 图像变换及频域滤波(高斯滤波,巴特沃兹滤波器,高斯滤波器)
  9. java计算机毕业设计小区综合管理系统源代码+数据库+系统+lw文档
  10. 达观文本指纹算法和系统简述