一、设计的内容
设计一个彩灯循环控制器

二、课程设计的要求与数据
设计要求包括:
1.10路彩灯分别用10个发光二极管L0、L1…..L9模拟,发光二极管L0、L1…..L9从左到右排列。
2.要求显示四种不同的花型:1)10路彩灯按照L0、L1…..L9的顺序轮流点亮。
2) 10路彩灯按照先偶数次灯、后奇数次灯的顺序轮流点亮。
3) 10路彩灯按照L0L1亮、L1 L2亮、L2L3亮、…L8L9的顺序轮流点亮。
4) 10路彩灯按照L0L9、L1L8、L2L7、L3L6、L4L5的顺序依次点亮,然后按相反的顺序依次灭掉。
以上四种花型一直反复循环显示。
3. 该控制电路设有启动和复位按钮。按下复位按钮,全部灯灭。按下启动按钮,彩灯开始按上述规律变化。

1 摘 要
本次课程设计用74192N计数器,7442N器,74153N多路选择器类实现彩灯循环的控制。彩灯循环的实质是由计数器产生一系列计数,经过译码器,多路选择器后变成一系列有规则的序列,最后由指示灯和数码管分别显示出来。其中有规则的序列包括自然数列,奇数数列,偶数数列还有另外两个移动循环序列。

关键字:计数器,译码器,多路选择器,数码管

2 总体设计方案
由题目要求可以知道,这个彩灯循环的设计是复杂的,应该选用多功能的中规模集成电路去完成设计。分析了电路的原理.拟定了以下的电路方案实现循环的功能。
本电路由控制信号产生单元电路,译码单元电路,选择电路,显示电路等电路组成,电路的结构框图如图下所示。控制信号产生单元电路部分产生计数信号,译码电路用来对产生的计数信号译码,来选择单元电路用来对编码后的信号进行选择,显示电路用来显示彩灯的循环花型。

3 模块及其原理介绍
3.1 设计原理
10路彩灯按照1)L0、L1…..L9的顺序轮流点亮 2)先偶数次灯、后奇数次灯的顺序轮流点亮 3)L0L1亮、L1 L2亮、L2L3亮、…L8L9的顺序轮流点亮 4)L0L9、L1L8、L2L7、L3L6、L4L5的顺序依次点亮,然后按相反的顺序依次灭掉.这四种花型都是按照规律的数数,并且每个花型都延续10秒,而四种花型延续40秒,所以10秒是个小周期,40秒是一个大周期,所以用两个计时器去控制信号,一个是模10计时器,一个是模4计时器,由于74192N是一个4位的10进制加/减计数器,异步清零,所以我们直接运用两片74192N芯片,如下图所示。下面的那个芯片是模10计数器,其进位脉冲连接到上面的74192N的输入端,所以上面的一个脉冲为10秒。然后上面的芯片输出出现0100时应该将其置零.因为要求有要给复位按钮,
下图,当J1打开时,即为复位设置。

3.2 译码单元电路
3.2.1 自然序列显示电路
由于该设计的计数器本身就是十进制计数器,所以直接输入自然序列。这个序列如下图所示

但是为了实现四种花型的顺序输出,该自然序列显示电路还要经过7442N译码器和74153N多路选择器。7442N译码器的作用在于将4位BCD码的10组代码翻译成10个与十进制数字符号对应的输出信号。图中输入端ABCD为8421码,输出端00-09分别代表十进制数字0-9。74153N是个双四路选择器,其功能是选择输出四个编码单元电路的值。本电路用了5个74153N来选择输出四个花型。74153N的器件上用1CO,2CO等来连接对应的输出端。当74153器件的A和B为00时,顺序输出序列的值。

3.2.2 奇数序列和偶数序列
本次设计所使用的计数器单元本身就是一个十进制计数器,因此可以用错位的方法来实现奇数序列和偶数序列的输出,所使用的元件仍然是744N译码器和74153N多路选择器。7442N译码器所使用方法跟自然序列所使用的方法一样,只是74153N选择器的接法不同。这个序列的状态如下图。

本设计中用了5个74153N选择器来实现10个状态的输出。其中从上到下分别代表10状态输出组。如下图所示。为了实现技术序列和偶数序列的输出,就让7442N的第一输出量00连接到第一个74153N的1C1, 7442N的第二输出量01连接到第二个74153N的1C1,7442N的第三输出量02连接到第三个74153N的1C1,7442N的第四输出量03连接到第四个74153N的1C1,7442N的第五输出量04连接到第五个74153N的1C1,7442N的第六输出量05连接到第一个74153N的2C1,7442N的第七输出量06连接到第二个74153N的2C1,7442N的第八输出量07连接到第三个74153N的2C1,7442N的第九输出量08连接到第四个74153N的2C1,7442N的第十输出量09连接到第五个74153N的2C1,这样经过74153N后是输出的序列式是0,2,4,6,8,1,3,5,7,9

3.2.3 花型3单元电路
本次设计所使用的计数器是十进制计数器,为了按照顺序01,12,23,34,45,56,67,89,90,01的输出,可以相关联法的方法,使某一个变量关联下一个变量,从而达到两个变量的同时输出。这里使用10个与门。这个状态如下图所示:

10个与门连接方法如下所示:

3.2.4 花型4设计
本次设计使用的计数器是十进制计数器,为了实现09,18,27,36,45顺序依次点亮,再反向依次灭掉的序列,要使用与门来实现。这个序列的状态如下:


由表中可以看出0000和1000,0001和0111,0010和0110,0011和0101的输出是一样的,因此可以关联在一起,使用与门。如下图所示。图中00和08用一个与门连在一起,01和07.02和06,03和05都分别用一个与门连在一起。为了实现一次输入后,下一次输出时上一次的灯还亮,必须用与门把本次的输出连接到上一次的输出。

3.3指示灯显示电路
这个指示灯显示电路就由10个输出管分别对应于5个74153N的10个输出变量。如下图所示。

4 实验结果与数据分析
实验结果
10路彩灯能在编号依次所要求的四种花型下点亮,10个数码依次显示相应的数字,且不断循环,每个数字显示时间相等,并且该控制电路有启动,复位按钮。按下复位按钮,自动清零。按下启动按钮,彩灯按上述规律变化。

5 结论与问题讨论
在设计电路遇到了很多问题,例如:怎样能使10路彩灯能够自动循环点亮,怎样使彩灯显示奇数偶数数字,怎样切换到花型,怎样把模块电路连接起来等等问题。

设计一个彩灯循环控制器相关推荐

  1. 用Multisim实现彩灯循环控制器

    2019/06/06 !转载请注明出处 1.设计任务目的与要求 1.1 展示器件 10路彩灯分别用10个发光二极管L0.L1-..L9模拟,发光二极管L0.L1-..L9从左到右排列. 1.2 要求显 ...

  2. 彩灯循环控制器的设计与制作

    2006-06-13 12:58:57 数字电子技术综合训练实例

  3. 设计一个vb循环语句

    1)) + 5If Text2.Text <> "" Then Text2.Text = Text2.Text & Chr(ASCText)ElseText2. ...

  4. 彩灯循环控制系统 电路与电子技术 课程设计

    设计目的 本次课程设计要设计一个彩灯循环控制器.首先要分析设计要求,从要实现四花样入手推导出要使用的芯片.可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的 ...

  5. jmeter逻辑控制器之while循环控制器(一)

    jmeter逻辑控制器之while循环控制器(一) while循环控制器 微信公众号为:测试demo分享 示例一 示例2 while循环控制器 微信公众号为:测试demo分享 关注微信公众号,了解更多 ...

  6. JMeter循环控制器的使用

    if逻辑控制器 假设我们在创建脚本的时候,有个场景是期望根据不同的服务器 ip 去压测不同环境的接口,如我们需要即测试 test环境,又测 online环境,只需要通过逻辑控制器去判断不同环境的区域即 ...

  7. 一位全加器的设计和交通灯控制器EDA

    一.实验内容 1)熟悉quartusll开发环境 2)一位全加器的设计和交通灯控制器. FPGA为核心,设计一个交通灯控制器,每个路口都有红.黄.绿三盏灯.该交通信号灯控制器由一条车道A和一条车道B汇 ...

  8. C语言八位彩灯循环左移,利用计数器和移位寄存器设计一个八个彩灯循环电路?...

    3 工作原理 3.1 系统方案 3.11 方案一 彩灯控制器电原理图如下图所示.ICl.IC2由555接成多谐振荡器.IC3由4位2进制计数器74LS93接成16进制计数器,其4个输出端可分别输出对计 ...

  9. 基于51单片机的51单片机的LED彩灯霓虹灯控制器(心形流水灯)设计

    基于51单片机的51单片机的LED彩灯霓虹灯控制器(心形流水灯)设计 必看!!视频讲解 基于51单片机的LED彩灯霓虹灯心形流水灯设计 这里写目录标题 1 开发环境 2 功能说明介绍 3 仿真图 4 ...

最新文章

  1. 华为 | 人生苦短,码短情长,有场大Party等你来Pick!
  2. Ubuntu 对比 CentOS 后该如何选择?
  3. 《C++ Primer》 Part V (Advanced Topics)
  4. UA MATH636 信息论8 纠错码简介
  5. sublime 解决中文乱码
  6. 文件操作-小文件复制
  7. ISO语言代码和国家代码+Locale常量+ISO货币符号
  8. 介绍Linux中cp直接覆盖不提示的方法
  9. 【ZOJ - 2724】【HDU - 1509】Windows Message Queue(优先队列)
  10. Python基础学习思维导图
  11. Python3爬虫入门之selenium库的用法
  12. 实现简单的Java内存缓存
  13. Linux服务器CPU压力测试(本人亲测)
  14. CAD无法打印的问题解决办法
  15. 『矩阵论笔记』雅可比矩阵(Jacobian)和海森矩阵(Hessian)
  16. 10G数据量,只有2G内存,怎样找到中位数?
  17. 微信小程序使用echarts
  18. C++时间日期的处理ctime
  19. 【引语练习题】Ask questions politely
  20. linux 串口发送 内核,使用串口线真机调试Linux内核

热门文章

  1. Web Services:惊世未了缘
  2. Coding and Paper Letter(十一)
  3. 超详细从零开始安装yolov5
  4. 嵌入式开发:变得更加敏捷的5个技巧
  5. 如何从小白成为自动化软件测试工程师?
  6. O2O汽车平台(三)
  7. python有多强大_ruby和python哪个强大
  8. SAS 对单个数据集的处理
  9. 墨迹天气php,Android_仿墨迹天气在Android App中实现自定义zip皮肤更换,在这里谈一下墨迹天气的换肤 - phpStudy...
  10. Kubernetes安装