微电子领域常用术语缩写英汉对照

不论是在中文文献中还是英文文献中,拥有一定专业素养的作者往往倾向于使用大量的英文缩写。因此,了解常用的英文缩写对于阅读文献具有很大的帮助。以下整理了一些常见的专业术语的缩写,希望能有所帮助。( •̀ ω •́ )y

ps : 知识需要不断积累,因此本列表会根据学习进度不断更新,大家可以常来看看顺便检测下自己的专业水平吼!(๑•̀ㅂ•́)و✧

英文缩写 英文全称 汉语释义
ADC Analog to Digital Convert 一般用作模拟信号到数字信号的转换电路
ALD Atomic Layer Deposition 原子层沉积
ARM Acorn RISC Machine 英国Acorn有限公司设计的低功耗成本的第一款RISC微处理器;后来处理器部门从Acorn公司剥离后,成立了ARM公司
ASIC Application Special Integrated Circuit [PLD相关]专用集成电路
ASSP Application-specific standard product 一种有着广泛应用的ASIC芯片
ATPG Auto Test Pattern Generator 是一个测试向量自动生成工具,生成的测试向量会给测试厂作测试芯片用
BE Back End 后端,指IC设计中的后道布局布线(Layout)阶段
BIST Build in System Test 内建测试系统
BRAM Block Random Access Memory [FPGA相关]块随机存储器,存储空间较大,是FPGA中定制的存储资源
CAD Computer Aided Design 计算机辅助设计
CCB Clock Control Block [FPGA相关]时钟控制模块
CLB Configurable Logic Block [FPGA相关]可配置逻辑块,FPGA的主要逻辑资源
CMOS Complementary metal oxide semiconductor 互补金属氧化物半导体
CPLD Complex Programmable Logic Device [PLD相关]复杂可编程器件
DAC Digital to Analog Convert 一般用作数字信号到模拟信号的转换电路
DCP Design Check Point [FPGA相关]Vivado设计检查点
DFT Design for Test 为了增强芯片可测性而采用的一种设计方法,使芯片变得容易测试
DRAM Dynamic Random Access Memory 动态随机存取存储器,最为常见的系统内存
DRC Design Rule Check 是在IC design经过Layout后检查其版图是否符合设计规则,如宽度、间距、面积等。
DSP Digital Signal Processing 数字信号处理
EDA Electronic Design Automation 电子设计自动化,现在IC设计中用EDA软件工具实现布局布线
EEPROM Electrically Erasable Programmable Read Only Memory [PLD相关]电可擦除只读存储器
EPROM Erasable Programmable Read Only Memory [PLD相关]紫外线可擦除只读存储器
ERC Electronic Rule Check 是在IC design经过Layout后检查其版图是否符合电气规则
FB Function Block [CPLD相关]功能块
FBGA Fine-Pitch Ball Grid Array 细间距球栅阵列,一种芯片封装方式(真不是FPGA的笔误-_-!)
FE Front End 前端,指IC设计中的前道逻辑设计阶段
FLASH Flash EEPROM Memory [PLD相关]闪存,同时具有RAM快速读取数据的特点与EEPROM的可擦除及非易失性。
Foundry 指芯片制造加工厂的代工业务,负责将设计完成的芯片生产出来
FPGA Field Programmable Gate Array 现场可编程门阵列
FSM Finite state machine [数字逻辑]有限自动状态机
GCLK General digital clock manager [FPGA相关]数字时钟管理
HLS High-Level Synthesis 高级综合工具
HPC High performance computin 高性能计算
IC Integrated Circuit 集成电路
ICC IC Compiler
ICDS IC Design Service 芯片设计服务
ICL intergrated circuit layout 集成电路版图
IDE Integrated Development Environment 集成开发环境,例如我们常用的Visual Studio、PyCharm等
IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会
IOB I/O Block [CPLD相关]输入输出块
IP Intellectual Property 知识产权
JTAG Joint Test Action Group 联合测试工作组,是一种国际标准测试协议(IEEE 1149.1兼容)
LHV Logic High Voltage 逻辑高电平
LLV Logic Low Voltage 逻辑低电平
LPF Low Pass Filter 低通滤波器
LSB Least Significant Bit [通信相关]最低有效位
LSI Large-scale intergrated circuit 大规模集成电路
LUT Look Up Table [FPGA相关]查找表,本质就是一个RAM
LVDS Low Voltage Differential Signaling 一种低摆幅的差分信号技术,使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和低电流驱动输出实现了低噪声和低功耗
LVS Layout versus Schematic 版图与电路图一致性检查,是在IC design经过Layout后检查其版图与门级电路是否一致
LVTTL Low Voltage Transistor-Transistor Logic [FPGA相关]电压标准之一
MCU Microcontroller unit 微控制器
MOSFET Metal Oxide Semiconductor Field Effect Transistor 金属氧化物半导体场效应晶体管
MPW Multiple Project Wafer 多项目晶圆投片,指在同一种工艺的不同芯片放在同一块晶圆(Wafer)上流片,是小公司节省成本的有效手段
MSB Most Significant Bit [通信相关]最高有效位
MSI Middle-scale intergrated circuit 中规模集成电路
Netlist Netlist(没啥可缩的>_<) 门级网表,一般是RTL Code经过综合工具生成的网表文件
NRE Non Recurring Engineering 不反复出现的工程成本
NS next state [数字逻辑]下一状态
OPC Optical and Process Correction 光刻工艺修正
PAL Programmable Array Logic [PLD相关]可编程阵列逻辑
PCIe Peripheral Component Interconnect Express 外设组件互连标准
PLA Programmable Logic Array [PLD相关]可编程逻辑阵列(上面这两个不一样>_<)
PLD Programmable Logic Device [PLD相关]可编程逻辑器件
PLL Phase Locked Loop 锁相环,一般用于时钟性倍频电路
POS Product of Sum [数字逻辑]和之积
PROM Programmable Read Only Memory [PLD相关]可编程只读存储器
PS previous state [数字逻辑]当前状态
QFN Quad Flat No-leadPackage 方形扁平无引脚封装
RAM Random Access Memory 随机存储器
RF Radiation Frequency 发射频率,射频
RISC Reduced Instruction Set Computer 精简指令集计算机
ROM Read Only Memory [PLD相关]只读存储器,具有非易失性。
RTL Register Transformation Level 寄存器传输级
SCL Serial Clock(猜测) [通信相关]I2C总线中的串行时钟线
SDA Serial Data(猜测) [通信相关]I2C总线中的串行数据线
SoC System on Chip 单芯片系统设计
SOP Sum of Product [数字逻辑]积之和
SRAM Static Random Access Memory [PLD相关]静态随机存取存储器
SSI Small-scale intergrated circuit 小规模集成电路
STA Static Timing Analysis 静态时序分析
TCL Tool Command Language 工具命令语言。Vivado内置了Tcl Shell,可以利用它完成设计流程。
TTL Transistor-Transistor Logic [通信相关]TTL电平标准,规定+5V等价于逻辑1,0V等价于逻辑0
UART Universal Asynchronous Receiver/Transmitter [通信相关]通用异步收发传输器
USART Universal Synchronous/Asynchronous Receiver/Transmitter 通用同步/异步串行接收/发送器
UUT Unit Under Test [FPGA相关]待测单元
UWB Ultra Wideband 一种无载波通信技术
VHDL VHSIC(Very High Speed IC) Hardware Description Language 一种硬件描述语言
Vivado Vivado FPGA厂商赛灵思公司2012年发布的集成设计环境
VLSI Very-large-scale integrated circuit 超大规模集成电路
XDC Xilinx Design Constraints 添加了xilinx专有物理约束的SDC约束.

【持续更新】微电子专业术语常用缩写英汉对照相关推荐

  1. java中常见的专业术语单词缩写,看你认识几个

    java中常见的专业术语单词缩写,看你认识几个 单词全称 单词缩写 中文意思 备注 Garbage Collection GC 垃圾回收 Object Oriented Programming OOP ...

  2. 1.1 区块链专业术语(中英对照)

    最近搜集并整理了一下,区块链中相关专业术语(中英对照). 通过对这些英文术语的了解,可以帮助我们在日后更好的阅读区块链英文文献. 区块链专业术语(中英对照) English 中文 A   accoun ...

  3. 2022-03-21 转载办公室之常用职位(英汉)

    办公室之常用职位(英汉) 来源:https://www.chinaacc.com/new/635_656_/2009_8_26_le4970475536162890024674.shtml 编辑:vi ...

  4. 高等数学术语英汉对照

    数学术语的英汉对照(权威,全面)English Chinese abbreviation 简写符号:简写 abscissa 横坐标 absolute complement 绝对补集 absolute ...

  5. 数学专业英语词汇英汉对照

    数学专业英语词汇英汉对照 A absolute value 绝对值 accept 接受 acceptable region 接受域 additivity 可加性 adjusted 调整的 altern ...

  6. C语言程序设计双语版,双语版C程序设计(英汉对照)

    <双语版C程序设计(英汉对照)> Chapter One Introduction to C(引言)1 1.1 Brief history of C(C语言简史)1 1.2 Why pro ...

  7. OSSIM学习-英汉对照注释

    Ossim的Web UI目前没有很好的本地化解决方案,这给不少初学Ossim的用户尤其是英文不太好的人来说,带来了一些麻烦,下面是部分英汉对照注释,并在不断完善中,希望对大家学习过程中,有所帮助. A ...

  8. 英汉对照名言隽语(四)

    英汉对照名言隽语(四) The greater the man, the more restrained his anger. ( Ovid ) 人越伟大,越能克制怒火.(奥维德) It is eas ...

  9. 英汉对照名言隽语(二)

    英汉对照名言隽语(二) Life is a leaf of paper white, thereon each of us may write his word or two. ( A. Lowell ...

  10. 英汉对照名言隽语(三)

    英汉对照名言隽语(三) He who has never hoped can never despair. ( Bernard Shaw ) 从来没有抱什么希望的人也永远不会失望.(萧伯纳) Try ...

最新文章

  1. 积跬步,聚小流------ps有用小技巧,改变png图标颜色
  2. feignclient多个配置_@FeignClient同一个name使用多个配置类的解决方案
  3. centeros /redhate密码破解
  4. Python-OpenCV 笔记1 -- 图像与视频的读取、显示、保存
  5. LBS核心技术解析(引子)
  6. sklearn之线性回归和梯度下降
  7. Kaggle竞赛宝典方案汇总
  8. 在Entity Framework中使用事务
  9. NMS 非极大值抑制原理及实现
  10. 尼尔机械纪元免安装中文 2B的姐姐单机游戏 NieR Automata +修改器解锁存档
  11. 新手如何Reverces(基础ctf教程篇)
  12. 【练习题】第二章--变量,表达式,语句(Think Python)
  13. 图片加载用Fresco,网络请求用OKhttp+Retrofit实现
  14. Spring问题研究之bean的属性xml注入List类型不匹配
  15. M1 MacBook安装redis
  16. APP 数据指标体系分析
  17. 矩阵最小二乘法(正规方程化)
  18. python 文件打开的六种方式
  19. 中兴手机溃败大裁员 中国裁员比例将超过20%
  20. 基于jQueryUI控件、oracle应用双机架构企业PMS系统实战课程-张勇-专题视频课程

热门文章

  1. java图书管理系统(MySQL)
  2. Qt配置OpenCV教程,亲测已试过(详细版)
  3. 计算机毕业设计django基于python药房药品管理系统(源码+系统+mysql数据库+Lw文档)
  4. 计算机网络sequence number,计算机网络
  5. 工信部电子五所张志强:中国数据库行业发展趋势分析
  6. mysql ---- 全文索引:中文语义分词检索
  7. 木材材积表快速计算器_原木材积计算器
  8. CMMI认证要求有哪些
  9. 《数据结构题集(C语言版)》第2章(线性表)习题自解答
  10. 研发管理 - 流程篇