XILINX DDR3 IP核使用教程

[复制链接]

本教程选择一个例子来讲,那就是xilinx平台下用DDR3(常见的笔记本内存条)

接下来是你玩转这个教程所必须要准备的工具:

xilinx ISE 14.1或者更高版本(不好意思,比14.1还低的版本我没试过。vivado当然也可以,不过我是用的ISE)

modelsim SE 6.6a或者更高版本(更低版本我负责的告诉你不可以,因为无法正常生成编译库,所以,6.5版本或者更低的你干脆就别装了)

... ...

PPT翻了一页了,工具都装完了吗亲?

已经装完了啊?

很好哦,那我们就开始吧!

你知道用ISE做DDR设计的第一步是啥吗?

当然是打开工具了——我估计这你肯定知道

打开工具之后做啥?

当然是生成一个IP,对xilinx来说也就是core gen了

我估计你即便是新手上路,这个也是知道的——因为我前面刚刚讲过了嘛

那么core gen生成完了之后呢?

是不是要仿真啊?

仿真需要什么?

当然是modelsim了——我还是刚刚讲过,哈哈

那你知道用modelsim仿真DDR的core gen,是需要xilinx仿真库的吗?

什么?你不知道啥叫仿真库?

乖乖隆地洞,我还是给你讲讲啥叫仿真库吧先

1.jpg (42.1 KB, 下载次数: 0)

2021-2-3 09:06 上传

ddr3ip核心_XILINX DDR3 IP核使用教程相关推荐

  1. 【原创】Xilinx:K7 DDR3 IP核配置教程

    [原创]Xilinx:K7 DDR3 IP核配置教程 本文为明德扬原创文章,转载请注明出处! MIG IP控制器是Xilinx为用户提供的一个用于DDR控制的IP核,方便用户在即使不了解DDR的控制和 ...

  2. Vivado定制DDR3 IP核注意事项

    1.1 Vivado定制DDR3 IP核注意事项 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Vivado定制DDR3 IP核注意事项: 5)结束语. 1.1.2 本 ...

  3. 快速上手Xilinx DDR3 IP核(3)----把MIG IP核封装成一个FIFO(上)(Native接口)

    写在前面 本文将把Xilinx的MIG IP核DDR3的Native接口进行二次封装,将其封装成一个类似FIFO的接口,使其应用起来更加方便简单. DDR3系列文章: 快速上手Xilinx DDR3 ...

  4. 快速上手Xilinx DDR3 IP核(2)----MIG IP核的官方例程与读写测试模块(Native接口)

    写在前面 接上一篇文章(配置MIG IP过程): 快速上手Xilinx DDR3 IP核(1)----MIG IP核的介绍及配置(Native接口) DDR3系列文章: 快速上手Xilinx DDR3 ...

  5. 快速上手Xilinx DDR3 IP核(4)----把MIG IP核封装成一个FIFO(下)(Native接口)

    写在前面 本文将把Xilinx的MIG IP核DDR3的Native接口进行二次封装,将其封装成一个类似FIFO的接口,使其应用起来更加方便简单. 本文为下篇,建议与上篇一起阅读,有利于理解: 快速上 ...

  6. Vivado报错:[Runs 36-527] DCP does not exist,generate Output Products MIG ddr3 IP核后报错DCP问题解决

    Vivado报错:[Runs 36-527] DCP does not exist_烦恼诗集#的博客-CSDN博客 先参考这个文档解决, 问题描述:综合工程时,某个IP文件被标红,出现[Runs 36 ...

  7. Xilinx FPGA平台DDR3设计保姆式教程(3)MIG IP核使用教程及DDR读写时序

    干货来了,用DDR搬砖,只需要会用IP就好,Xilinx官方YYDS! ---------------------------------------------------------------- ...

  8. Xilinx FPGA平台GTX简易使用教程(四)GTX IP核配置教程

    干货来了,GTX核配置,搬砖全靠它~~ 汇总篇: Xilinx FPGA平台GTX简易使用教程(汇总篇) 目录 前言 一.GTX  IP核配置界面 1.1第一页配置 1.2第二页配置 1.3第三页配置 ...

  9. XILINX中DDR3 IP核的使用

    前言 最近一直在查找关于DDR3的资料,网上也有很多介绍,这篇主要是记录我的学习过程,以防后期遗忘.本篇主要是针对如何运用XILINX的MIG核,因个人能力有限,对DDR3的硬件外围电路和原理只是大概 ...

最新文章

  1. 转:strcat与strcpy与strcmp与strlen
  2. 跨云协作,看OpenStack起舞
  3. vm ububtu突然没网
  4. JUnit4学习笔记(二):参数化测试与假定(Assumption)
  5. JS之函数实际参数转换成数组的方法[].slice.call(arguments)
  6. JavaScript | 数组的常用属性和方法
  7. 信息学奥赛C++语言:短信计费
  8. 理论 | 当 Spring Boot 遇上了消息队列......
  9. 读书笔记--Head First Programming 目录
  10. BART原理简介与代码实战
  11. 快速部署MySQL5.6.36数据库实践
  12. 利用border设置transparent绘制图形
  13. 9.react 从入门到放弃
  14. C语言简易贪吃蛇(附完整代码)
  15. 2022年工作日节假日数据
  16. 逍遥模拟器微信提示无法连接服务器,轻松解决夜神逍遥模拟器模拟器等模拟器无法连接问题...
  17. R语言统计入门第四章描述性统计和图形——4.6表格的图形显示
  18. Effective前端6:避免页面卡顿
  19. 物联网云平台系统源码
  20. 【魅力开源】第7集:开源ERP系统Odoo发展史(Odoo中文社区野史2019版)

热门文章

  1. 已从IT辞职4年,誓要做个不死的闯将!!!(有多少IT兄弟想辞职创业的?)
  2. 在outlook客户端设置阿里企业邮箱
  3. sqlite 查询效率对比
  4. 字节跳动为什么选用 Flutter : 可能成为不一样的未来 | 开发者说·DTalk
  5. 【每日早报】2019/08/14
  6. xml文件导入wps_#WPS表格怎么导入XML数据?#excel怎样导入wps表格数据
  7. 程序员的三大优良品质:偷懒,没有耐性和骄傲自大。
  8. 小白入门知识图谱构建与应用
  9. 「JVM 故障诊断」故障分析与处理案例
  10. 今天跟linux无关--我所喜爱Linux的游戏