文章目录

  • 一、实验时间
  • 二、实验内容
    • 1、熟悉Quartus II 开发环境及实验台
    • 2、设计16位寄存器
    • 3、设计二选一(每路数据宽度8位)多路选择器

一、实验时间

2021年3月18日星期四,第三周

二、实验内容

1、熟悉Quartus II 开发环境及实验台

(1)Quartus II的基本使用
(2)在实验室的硬件平台上下载原理图,学习使用硬件实验平台

2、设计16位寄存器

参考实验指导书P36实验五,由4位或8位寄存器构造而成

(1)复习D触发器的工作原理
(2)设计两个8位的寄存器(每个寄存器由8个D触发器构成),之后复用这两个8位的寄存器,生成16位的寄存器
(3)指定对应的引脚号

八位寄存器的电路图

复用8位寄存器后生成的16位寄存器的电路图

3、设计二选一(每路数据宽度8位)多路选择器

参考实验指导书P31实验1

题目要求我们设计二选一的数据选择器,并且每路数据宽度为8位
项目指导书中的是三路选择一路,并且每一路中的数据只有4位,根据项目指导书上的例子,我们可以知道,首先我们需要指定两个输入端,每个输入端为8位
A输入端:a0 a1 a2 a3 a4 a5 a6 a7
B输入端:b0 b1 b2 b3 b4 b5 b6 b7
将这两个输入端两两分组,共分为a0,b0, …,a7,b7八组

另外还有两个选择端
AY,BY
这两个选择端用于控制哪一路输出
之后分别经过与门和非门,通过指定AY和BY的值确定哪一路输出

绘制出具体的电路图,到实验平台上下载演示即可

数据选择器的电路图

4、生成符号图,留存,以供将来的整机实验所用。

保留2和3的符号图,之后的整机实验要用(生成对应的.bsf文件即可)

山东大学软件学院计算机组成原理课程设计实验一相关推荐

  1. 山东大学软件学院计算机组成原理课程设计整机实验(2)

    文章目录 一.实验时间 二.实验任务 三.对JO指令要求的理解 四.JO指令的实现方法 五.实验中遇到的其它问题 5.1 机器吞微指令的问题 一.实验时间 2021年5月6日星期四,第10周 2021 ...

  2. 计算机组成原理课程实验报告,计算机组成原理课程设计实验报告

    <计算机组成原理课程设计实验报告>由会员分享,可在线阅读,更多相关<计算机组成原理课程设计实验报告(18页珍藏版)>请在人人文库网上搜索. 1.计算机组成原理课程设计实验报告( ...

  3. 计算机组成原理模型机设计实验总结,计算机组成原理课程设计实验报告-基本模型机设计与实现.docx...

    计算机组成原理课程设计实验报告-基本模型机设计与实现 计 算 机 组 成 原 理 实 验 报 告 评 语: 成绩 教 师: 年 月 日 班 级: 1403011 学 号: 140301124 姓 名: ...

  4. 计算机模型机设计实验报告,计算机组成原理课程设计实验报告-基本模型机设计与实现...

    计算机组成原理课程设计实验报告-基本模型机设计与实现 (12页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 14.90 积分 计 算 机 组 成 原 理实 ...

  5. 计算机原理综合设计实验报告,计算机组成原理--课程设计实验报告.doc

    武汉工业学院 数学与计算机学院 组成原理课程设计 专 业:计算机科学与技术 班 级:________________ 学 号:________________ 姓 名:________________ ...

  6. 广州大学2021计算机组成原理课程设计实验报告

    一.本课程设计的性质.目的.任务 <计算机组成与系统结构课程设计>是计算机学院各专业集中实践性环节之一,是学习完<计算机组成与系统结构>课程后进行的一次全面的综合练习.其目的是 ...

  7. 计算机原理课程设计模拟cpy,山东大学-计算机组成原理课程设计报告样例

    [实例简介] 山东大学计算机组成原理课程设计报告-包括实验格式+设计详细步骤(加法+乘法)+设计心得 5令果记 A A *影个碟「数 Mv2A开 R,:将2个操取至积 ADR.R,:兴R,R孙数彬栩劝 ...

  8. 计算机组成原理硬布线实验心得,计算机组成原理课程设计—硬布线控制器的设计与实现...

    计算机组成原理课程设计-硬布线控制器的设计与实现 (13页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 19.90 积分 硬布硬布线线控制器的控制器的设计 ...

  9. 计算机组成原理课程设计总结,计算机组成原理课程设计的实验报告

    计算机组成原理课程设计的实验报告 长治学院课程设计报告课程名称: 计算机组成原理课程设计 设计题目: 设计一台性能简单的计算机 系 别: 计算机系 专 业: 计科1101班 组 别: 第三组 学生姓名 ...

  10. 计算机组成原理认识fpga,计算机组成原理课程设计-基于EDA和FPGA技术的8位模型计算机的设计与实现_精品.doc...

    计算机组成原理课程设计-基于EDA和FPGA技术的8位模型计算机的设计与实现_精品 目录 前言2 第一章 课程设计内容2 1.1 实验要求2 1.2 实验目的2 第二章 实验原理及方案2 2.1 实验 ...

最新文章

  1. 找不到org.springframework.dao.support.DaoSupport的类文件
  2. 电脑软件:微软Windows官方电脑管家,仅针对国内用户?看看怎么说
  3. 打印最少硬币的组合-dp+记录路径
  4. 无限网盘,36个T,360网盘无限空间的申请方法www.credream.com
  5. Windows 帐号管理相关操作
  6. SubclassDlgItem函数
  7. XamarinEssentials教程应用程序信息AppInfo
  8. vscode安装 Fira Code 编程字体,编程符号更精致美观
  9. 微信快速引流的18种加人方法秘籍
  10. Ubuntu 16.04 一系列软件安装命令,包括QQ、搜狗、Chrome、vlc、网易云音乐安装方法
  11. 创业吧,兄弟--记者眼中的中关村创业大街创业热潮纪实
  12. uefi启动服务器系统安装win7系统教程,uefi引导gpt安装win7教程
  13. java实现别踩白块儿,jQuery实现别踩白块儿网页版小游戏
  14. 创建型模式 - 单例模式Singleton
  15. 【C 语言】文件操作 ( fflush 函数 | 刷新缓冲区示例代码 )
  16. 算法——重构字符串使得相邻字符不同
  17. 微信小程序保存相册授权全过程:第一次授权、已授权、拒绝后再授权
  18. windows10 录音机内录、外录、内外混合录的方法
  19. 高绩效团队的建设与管理
  20. State Threads 回调终结者

热门文章

  1. Java实现Excel数据导入数据库
  2. 三极管的使用方法,放大,截止,饱和
  3. 更新K3官改里面都FRP到0.20版本的方法
  4. HTTP协议发展历史
  5. DSP入门应该懂得57个问题
  6. 利用计算机绘出圆柱面,工程制图与计算机绘图
  7. 计算机系大学生为什么选择在CSDN写博客?
  8. 贪吃蛇贪吃蛇代码--c语言版 visual c++6.0打开
  9. gcc 源码下载地址
  10. php繁体类,PHP类UTF8编码内的繁简转换-繁体-简体