VHDL——74LS138译码器
1.功能描述
功能描述:该3-8译码器有六个输入端:S1,S2,S3为控制信号,a0,a1,a2为三个输入信号。一个输出端口y为八位总线,表示译码结果。
2.真值表
3.VHDL语言
3.1case语句
library ieee;
use ieee.std_logic_1164.all;entity decode38 isport(a,b,c,g1,g2a,g2b : in std_logic;y : out std_logic_vector(7 downto 0));
end decode38;architecture rtl of decode38 is
signal indata : std_logic_vector(2 downto 0);
beginindata <= c&b&a;
process(indata,g1,g2a,g2b)
beginif(g1 = '1' and g2a = '0' and g2b = '0')thencase indata iswhen "000" => y <= "11111110";when "001" => y <= "11111101";when "010" => y <= "11111011";when "011" => y <= "11110111";when "100" => y <= "11101111";when "101" => y <= "11011111";when "110" => y <= "10111111";when "111" => y <= "01111111";when others => y <= null; --输入其他数据,y指向空end case;elsey <= "11111111";end if;
end process;
end rtl;
3.2if语句
library ieee;
use ieee.std_logic_1164.all;entity decode38 isport(a,b,c,g1,g2a,g2b : in std_logic;y : out std_logic_vector(7 downto 0));
end decode38;architecture rtl of decode38 is
signal indata : std_logic_vector(2 downto 0);
beginindata <= c&b&a;
process(indata,g1,g2a,g2b)
beginif(g1 = '1' and g2a = '0' and g2b = '0')thenif(indata = "000") then y <= "11111110";elsif(indata = "001") then y <= "11111101";elsif(indata = "010") then y <= "11111011";elsif(indata = "011") then y <= "11110111";elsif(indata = "100") then y <= "11101111";elsif(indata = "101") then y <= "11011111";elsif(indata = "110") then y <= "10111111";elsif(indata = "111") then y <= "01111111";elsey <= null;end if;elsey <= "11111111";end if;
end process;
end rtl;
VHDL——74LS138译码器相关推荐
- (43)VHDL实现译码器与解码器
(43)VHDL实现译码器与解码器 1.1 目录 1)目录 2)FPGA简介 3)VHDL简介 4)VHDL实现译码器与解码器 5)结语 1.2 FPGA简介 FPGA(Field Programma ...
- (18)VHDL实现译码器
(18)VHDL实现译码器 1.1 目录 1)目录 2)FPGA简介 3)VHDL简介 4)VHDL实现译码器 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate ...
- 搞定138译码器(15),基于74ls138译码器设计全加器
138译码器的重要性不言而喻,因此对于138译码器,我们应当有所了解.为增加大家对138译码器的认识,本文将介绍如何利用74ls138译码器设计全加器.本文除了对74ls138译码器加以阐述外,文章第 ...
- 74LS138译码器
--------------------------------------------- -- 时间:2018-10-31 -- 创建人:Ruo_Xiao -- 邮箱:xclsoftware@163 ...
- 5.【半导体存储器】SRAM 6264 +【译码器】74LS138
文章目录 半导体存储器 1. SRAM 6264芯片引脚 译码器 1. 74LS138译码器 半导体存储器 1. SRAM 6264芯片引脚 译码器 1. 74LS138译码器 3输入8输出的专用译码 ...
- 计组之存储系统:3、主存与CPU的链接(字扩展、位扩展、字位扩展、74LS138)
3.主存与CPU的链接 思维导图 存储器芯片的输入输出信号 增加主存的存储字长-位扩展 增加主存的存储字数-字扩展 主存容量扩展-字位同时扩展 74LS138译码器 思维导图 存储器芯片的输入输出信号 ...
- 计数译码显示电路实验报告总结_译码器及其应用
一.实验目的 1.掌握中规模集成译码器的逻辑功能和使用方法 2.熟悉数码管的使用 二.实验原理 译码器是一个多输入.多输出的组合逻辑电路.它的作用是把给定的代码进行"翻译",变成相 ...
- FPGA设计编程(二) 8-3线优先编码器与3-8线译码器
目录 [实验要求] [实验软件工具] [实验一]设计一个8-3线优先编码器(74LS148) 1. 实验内容与原理说明 2. 实验模块程序代码和激励代码 (1)设计模块代码 (2)激励模块代码 3. ...
- 【计算机组成原理】门阵列译码器
[计算机组成原理]门阵列&译码器 门阵列 译码器 门阵列 门阵列属于半定制的集成电路,可分为有信道和无信道两种.有信道门阵列是在一个芯片上把门排列成阵列形式,严格地讲是把单元(含有若干个器件) ...
- VL19-使用38译码器实现逻辑函数!L=(~A)·C+A·B
第一部分: 1,下表是74LS138译码器的功能表. 2,要求:使用3-8译码器①和必要的逻辑门实现函数L=(~A)·C+A·B: 3,给出代码 module decoder_38(input E1_ ...
最新文章
- java8编译_为什么在Java7中编译而在Java8中编译?
- We will be discontinuing the Nitrous Development Platform and Cloud IDE on November 14th, 2016.
- 制作centos6的启动光盘boot.iso
- c++清空输入缓冲区_干货 | C++的输入输出方法
- 模型审查的4个核心要点
- Oracle多表联查时,某个字段由逗号分割的字符串组成,关系是此字符串切割后,对应另一张表某个字段,如何实现一条sql完成查询?
- linux 查看新增文件夹记录_微信7.0.23测试版,新增查看访客记录,朋友圈内容能折叠?...
- 记录:成功配置 centos + nginx + .net core 2.0
- 【bzoj4940】这是我自己的发明
- 【20180712】Nginx 参数优化和内核参数优化
- TypeScript:数组
- c语言下建立直角坐标系,大地坐标系和地心地固直角坐标ECEF转换公式和C语言函数代码...
- 重新想象 Windows 8 Store Apps (35) - 通知: Toast 详解
- 一个问题,两人讨论,几行代码,一些启发_刘未鹏
- GD32Pack包下载地址
- 计算机算法设计与分析 第5版 (王晓东) 课后答案[解析]
- 【CSS 用户界面属性 (Basic user interface)】
- Linux防火墙firewalld安全设置
- MessageFilter [target=odom ]: Dropped 95.28% of messages so far.Please turn the [ros.gmapping.messag
- signed integer overflow: -2147483648 - 1 cannot be represented in type ‘int‘
热门文章
- sql的 lpad函数
- 精品谷歌浏览器chrome插件大汇总!值得收藏
- 计算机查找dll文件,怎么查看进程正在调用哪些DLL文件
- Faster RCNN 网络分析及维度分析
- storm32和pixhawk_storm32三轴手持增稳云台项目实现代码 storm32 bgc手持云台增稳的代码 - 下载 - 搜珍网...
- 基于Java+Springboot+mybatis+lyaui的学科竞赛管理系统设计和实现
- Q学习(Q-learning)简单理解
- Vivado里程序固化详细教程
- 论JAVA语言的优缺点
- 请问苹果x是如何建文件夹_教你12个技巧,最全苹果X使用教程。