#include<reg51.h>
#define uchar unsigned char
#define uint unsigned int
sbit EXT0_interrupt = P3^2;
sbit EXT1_interrupt = P3^3;
void _74HC154();
void _74LS138();
//延时函数  i=1时,大约延时10us
void delay(uint ms)
{uchar i;while(ms--)for(i=0;i<40;i++);
}
void _74LS138()
{while(1){P1 = (P1+1)%8;delay(500);if(EXT0_interrupt == 1){break;}}
}
void _74HC154()
{while(1){P2 = (P2+1)%16;delay(500);if(EXT1_interrupt == 1){break;}}
}
void init()
{P1 = 0X00;P2 = 0X00;
}
void main()
{init();EA = 1;//总中断EX0 = 1;//INT0IT0 = 1;//INT0EX1 = 1;//INT1IT1 = 1;//INT1while(1);//_74LS138();//_74HC154();
}
void EXT0() interrupt 0
{_74LS138();/*ET0 = 1;//timer1TR0 = 1;//timer1*/
}
void EXT1() interrupt 2
{_74HC154();/*ET0 = 1;//timer1TR0 = 1;//timer1*/
}

Proteus仿真

百度网盘链接

链接:https://pan.baidu.com/s/1baLywAyX3eTYwHpLngn0uQ
提取码:2020
复制这段内容后打开百度网盘手机App,操作更方便哦

51单片机-流水灯(74LS138、74HC154)相关推荐

  1. 51单片机流水灯制作

    文章目录 51单片机流水灯制作 初试与复试 初试 复试 制作 分工 制作之硬件 制作之软件 总结 51单片机流水灯制作 我不得不承认自己的毅力实在是太差了,原先准备一星期写一篇博客的目标一致没有实现, ...

  2. 51单片机流水灯现象1

    **` 51单片机流水灯程序 `** 初学者小白,分享上课时写的小程序和普中科技程序,感兴趣的可以瞧瞧. 程序如有相同冒犯了. /*********************************** ...

  3. 如何用多种代码实现51单片机流水灯

    用51单片机实现流水灯的方法有多种:普通电灯法,位移法,数组法.其次模拟电路的电流图也与点一盏LED相似.具体如下: 一   首先是最简单的代码: #include <reg52.h>   ...

  4. 51单片机-------流水灯(实验报告)

    实验二:LED流水灯 一.实验目的 掌握51单片机开发板的使用步骤: 掌握51单片机开发板所需软件的安装过程: 以LED流水灯实验为例子,掌握软件KEIL4的使用方法. 二.实验设备 实验仪器设备: ...

  5. 51单片机——流水灯

    51单片机--单向流水灯 编写程序8个LED灯从最高位依次点亮,每次只亮一盏灯,依此循环. 电路原理图 源代码 #include "reg51.h" #define u8 unsi ...

  6. 设计一:51单片机流水灯控制

    目录 一.设计内容 二.硬件电路分析 三.仿真原理图 四.程序设计 五.仿真结果 六.思考题 作者有话说 一.设计内容 本次设计使用4个按键,当KEY1按下时,P0口所接的发光二极管(D1~D8)以1 ...

  7. 51单片机流水灯的三种实现方法

    首先,介绍下原理.下图为主控芯片和流水灯模块的原理图.流水灯模块接在单片机的P1口,由原理图可以知道,在P1口给一个低电平即可点亮LED灯.相反,如果要LED灯熄灭,就要把P1口的电平变为高电平即可. ...

  8. 51单片机流水灯控制(5种方法)

    实现流程: 1. 新建一个工程(新项目)2. 查看原理图确定需求(流水灯)对应LED引脚在单片机上的哪个引脚3. 编写程序(通过查看原理图可以看到我们需要控制整个P2口以控制8个LED灯)4. 编译程 ...

  9. 51单片机流水灯用c语言,51单片机之流水灯(C语言和汇编两个版本)

    c语言 #include typedef unsigned char uint8; sbit ADDR0=P1^0; sbit ADDR1=P1^1; sbit ADDR2=P1^2; sbit EN ...

最新文章

  1. PEI ZHI GUANLI GAISHU 1(liyi)
  2. 谷歌AI错杀Chrome插件,全职奶爸程序员“睡后收入”被迫终结
  3. OVS DPDK--Ring端口配置(五)
  4. springmvc+mybatis+mysql+maven
  5. 学而思的python课怎么样_有在用学而思网校的同学觉得孙墨漪老师怎么样?报她的课值得吗?...
  6. 边缘计算比云计算强在哪里?终于有人讲明白了
  7. 【干货】图文并茂生动详解命名实体识别NER理论与代码实战
  8. 不符合c语言表达式,C语言的基本语法有哪些?,已知各变量的类型说明如下,则以下不符合C语言语法的表达式是...
  9. 学Java不得不看的技术书
  10. H3C OSPF基本配置命令
  11. 推荐算法之协同过滤算法详解(原理,流程,步骤,适用场景)
  12. [opencv] 直方图匹配
  13. 不得不说的FUP P4K血型卡专用离心机
  14. scada系统集成_SCADA和DCS的区别以及PLC系统和它们的关系
  15. java计算机毕业设计Web前端开发技术儿童教育网站MyBatis+系统+LW文档+源码+调试部署
  16. vue上传大文件/视频前后端(java)代码
  17. 其实,我对你是有一些失望的。
  18. scilab中文简介
  19. vue组件通讯:父传子、子传父、事件发射详解
  20. 无显卡本地运行katago围棋程序

热门文章

  1. VGA显示彩条和图片(FPGA)
  2. 银行对帐java程序_使用用友软件如何进行银行对账
  3. 图像特征提取与匹配方法总结
  4. android 普通对话框,android 普通对话框
  5. Django学习系列之五:Django 的模板的render替换render_to_string用法及templates模板查找路径顺序
  6. java file available方法的一些注意点
  7. 牛客挑战赛42 A.小睿睿的数列
  8. Android Stduio实现一个天气预报APP
  9. 【Simulink教程案例1】基于Simulink的PID控制器设计与实现
  10. 静态链表、循环链表、双向链表