制作ZedBoard-linaro-desktop-ubuntu全过程
作者:Alston若水
1、准备阶段
(1)目标板子:ZedBoard REV D
系统信息:(装完以后的,比官方带的系统要更新很多东西)
Model: Zynq Zed Development Board
Board: XilinxZynq
Linux version4.9.0 (gcc version 4.9.2 (Sourcery CodeBench Lite 2015.05-17) )
(2)Ubuntu环境:ubuntukylin-17.04-enhanced-amd64(我安装在了虚拟机下面)
(3)SD卡:大于等于4GB
(4)Linaro文件系统:linaro-precise-ubuntu-desktop-20121124-560.tar.gz 或者在linaro官网:http://releases.linaro.org/archive/

(5)镜像文件:BOOT.BIN、uImage和devicetree下载地址:
http://download.csdn.net/download/su3752/10035734#comment
(6)HDMI接口的显示屏。
2、制作阶段
(1) 启动虚拟机下的Ubuntu系统,将准备好的SD卡(大于等于4GB)插入电脑,虚拟机下加载SD卡:

(2) 对SD卡分区:
在搜索中输入:disks

选择你的SD卡:

点击上图+号:可以设置分区大小,要分为两个区,一个FAT(至少100兆以上,如果sd卡空间足够可以大一点),一个Ext4区(至少3.8GB以上,分完fat区以后,剩下的都分给他就行)

分完区后如下图:我的是8GB SD卡,FAT分了2G,剩下的都给EXT分区了。


到此SD卡分区完成。
(3) 制作文件系统
将下载好的linaro-precise-ubuntu-desktop-20121124-560.tar.gz放到Ubuntu系统下。打开terminal(快捷键ctrl+alt+T)取得管理员权限:sudo su 然后输入密码。进入你放文件系统的文件夹下如下图:

然后输入下面命令:
sudo tar –strip-components=3 -C /media/根据自己的SD卡EXT路径更改/EXT -xzpf linaro-precise-ubuntu-desktop-20121124-560.tar.gz binary/boot/filesystem.dir

进入复制文件系统,这个进程需要几分分钟,耐心等待一下。
复制完成后,命令行重新出现。

(4)将镜像文件:BOOT.BIN、 uImage和devicetree复制到SD卡的FAT分区:

3、启动阶段
给板子供电,设置为SD卡启动模式,将串口软件打开,将HDMI接口屏幕接上,打开电源后:
串口返回信息如下:
U-Boot2016.07 (Oct 22 2017 - 21:30:47 +0800)

Model: ZynqZed Development Board
Board:Xilinx Zynq
DRAM: ECC disabled 512 MiB
MMC: sdhci@e0100000: 0
SF: DetectedS25FL256S_64K with page size 256 Bytes, erase size 64 KiB, total 32 MiB
* Warning- bad CRC, using default environment

In: serial@e0001000
Out: serial@e0001000
Err: serial@e0001000
Model: ZynqZed Development Board
Board:Xilinx Zynq
Net: ZYNQ GEM: e000b000, phyaddr 0, interfacergmii-id
eth0:ethernet@e000b000
Hit any keyto stop autoboot: 0
Device:sdhci@e0100000
ManufacturerID: 13
OEM: 4b47
Name: SD08G
Tran Speed:50000000
Rd BlockLen: 512
SD version2.0
HighCapacity: Yes
Capacity:7.6 GiB
Bus Width:4-bit
Erase GroupSize: 512 Bytes
readinguEnv.txt
* Unable toread file uEnv.txt *
CopyingLinux from SD to RAM…
readinguImage
4080360bytes read in 365 ms (10.7 MiB/s)
readingdevicetree.dtb
13393 bytesread in 23 ms (568.4 KiB/s)

Bootingkernel from Legacy Image at 02080000 …

Image Name: Linux-4.9.0
Image Type: ARM Linux Kernel Image (uncompressed)
Data Size: 4080296 Bytes = 3.9 MiB
Load Address: 00008000
Entry Point: 00008000
Verifying Checksum … OK

FlattenedDevice Tree blob at 02000000

Booting using the fdt blob at 0x2000000
Loading Kernel Image … OK
Loading Device Tree to 1eb0d000, end1eb13450 … OK

Startingkernel …

UncompressingLinux… done, booting the kernel.
BootingLinux on physical CPU 0x0
Linuxversion 4.9.0 (root@suyang-virtual-machine) (gcc version 4.9.2 (SourceryCodeBench Lite 2015.05-17) ) #1 SMP PREEMPT Sun Oct 22 22:05:58 CST 2017
CPU: ARMv7Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT /VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF:fdt:Machine model: Xilinx Zynq ZED
bootconsole[earlycon0] enabled
cma:Reserved 128 MiB at 0x16800000
Memorypolicy: Data cache writealloc
percpu:Embedded 13 pages/cpu @dfb91000 s23744 r8192 d21312 u53248
Built 1zonelists in Zone order, mobility grouping on. Total pages: 130048
Kernelcommand line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintkrootfstype=ext4 rootwait
PID hashtable entries: 2048 (order: 1, 8192 bytes)
Dentry cachehash table entries: 65536 (order: 6, 262144 bytes)
Inode-cachehash table entries: 32768 (order: 5, 131072 bytes)
Memory:379732K/524288K available (5553K kernel code, 236K rwdata, 2120K rodata, 264Kinit, 154K bss, 13484K reserved, 131072K cma-reserved, 0K highmem)
Virtualkernel memory layout:
vector : 0xffff0000 - 0xffff1000 ( 4 kB)
fixmap : 0xffc00000 - 0xfff00000 (3072kB)
vmalloc : 0xe0800000 - 0xff800000 ( 496 MB)
lowmem : 0xc0000000 - 0xe0000000 ( 512MB)
pkmap : 0xbfe00000 - 0xc0000000 ( 2 MB)
modules : 0xbf000000 - 0xbfe00000 ( 14MB)
.text : 0xc0008000 - 0xc0574844 (5555 kB)
.init : 0xc07b4000 - 0xc07f6000 ( 264 kB)
.data : 0xc07f6000 - 0xc0831000 ( 236 kB)
.bss : 0xc0831000 - 0xc0857a34 ( 155 kB)
Preemptiblehierarchical RCU implementation.
Build-time adjustment of leaf fanout to32.
RCU restricting CPUs from NR_CPUS=4 tonr_cpu_ids=2.
RCU:Adjusting geometry for rcu_fanout_leaf=32, nr_cpu_ids=2
NR_IRQS:16nr_irqs:16 16
efuse mappedto e0800000
slcr mappedto e0802000
L2C:platform modifies aux control register: 0x72360000 -> 0x72760000
L2C:DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C-310erratum 769419 enabled
L2C-310enabling early BRESP for Cortex-A9
L2C-310 fullline of zeros enabled for Cortex-A9
L2C-310 IDprefetch enabled, offset 1 lines
L2C-310dynamic clock gating enabled, standby mode enabled
L2C-310cache controller enabled, 8 ways, 512 kB
L2C-310:CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
zynq_clock_init:clkc starts at e0802100
Zynq clockinit
sched_clock:64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
clocksource:arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025,max_idle_ns: 440795209040 ns
Switching totimer-based delay loop, resolution 3ns
clocksource:ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
timer #0 ate080a000, irq=17
Console:colour dummy device 80x30
Calibratingdelay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS(lpj=3333333)
pid_max:default: 32768 minimum: 301
Mount-cachehash table entries: 1024 (order: 0, 4096 bytes)
Mountpoint-cachehash table entries: 1024 (order: 0, 4096 bytes)
CPU: Testingwrite buffer coherency: ok
CPU0: thread-1, cpu 0, socket 0, mpidr 80000000
Setting upstatic identity map for 0x82c0 - 0x8318
CPU1: thread-1, cpu 1, socket 0, mpidr 80000001
Brought up 2CPUs
SMP: Totalof 2 processors activated (1333.33 BogoMIPS).
CPU: AllCPU(s) started in SVC mode.
devtmpfs:initialized
VFP supportv0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
clocksource:jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns:19112604462750000 ns
pinctrlcore: initialized pinctrl subsystem
NET:Registered protocol family 16
DMA:preallocated 256 KiB pool for atomic coherent allocations
cpuidle:using governor ladder
hw-breakpoint:found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint:maximum watchpoint size is 4 bytes.
zynq-ocmf800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xe0840000
zynq-pinctrl700.pinctrl: zynq pinctrl initialized
SCSIsubsystem initialized
usbcore:registered new interface driver usbfs
usbcore:registered new interface driver hub
usbcore:registered new device driver usb
media: Linuxmedia interface: v0.10
Linux videocapture interface: v2.00
pps_core: LinuxPPSAPI ver. 1 registered
pps_core:Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giomettigiometti@linux.it
PTP clocksupport registered
EDAC MC:Ver: 3.0.0
AdvancedLinux Sound Architecture Driver Initialized.
clocksource:Switched to clocksource arm_global_timer
NET:Registered protocol family 2
TCPestablished hash table entries: 4096 (order: 2, 16384 bytes)
TCP bindhash table entries: 4096 (order: 3, 32768 bytes)
TCP: Hashtables configured (established 4096 bind 4096)
UDP hashtable entries: 256 (order: 1, 8192 bytes)
UDP-Litehash table entries: 256 (order: 1, 8192 bytes)
NET:Registered protocol family 1
hwperfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
futex hashtable entries: 512 (order: 3, 32768 bytes)
workingset:timestamp_bits=30 max_order=17 bucket_order=0
io schedulernoop registered
io schedulerdeadline registered
io schedulercfq registered (default)
dma-pl330f8003000.dmac: Loaded driver for PL330 DMAC-241330
dma-pl330f8003000.dmac: DBUFF-128x8bytesNum_Chans-8 Num_Peri-4 Num_Events-16
xilinx-vdma43000000.axivdma: missing xlnx,flush-fsync property
xilinx-vdma43000000.axivdma: missing xlnx,addrwidth property
xilinx-vdma43000000.axivdma: Xilinx AXI VDMA Engine Driver Probed!!
e0001000.serial:ttyPS0 at MMIO 0xe0001000 (irq = 25, base_baud = 3125000) is a xuartps
▒console[ttyPS0] enabled
console[ttyPS0] enabled
bootconsole[earlycon0] disabled
bootconsole[earlycon0] disabled
xdevcfgf8007000.devcfg: ioremap 0xf8007000 to e081e000
[drm] Initialized
brd: moduleloaded
loop: moduleloaded
m25p80spi32766.0: found s25fl256s1, expected n25q128a11
m25p80spi32766.0: s25fl256s1 (32768 Kbytes)
5 ofpartpartitions found on MTD device spi32766.0
Creating 5MTD partitions on “spi32766.0”:
0x000000000000-0x000000500000: “boot”
0x000000500000-0x000000520000: “bootenv”
0x000000520000-0x000000540000: “config”
0x000000540000-0x000000fc0000: “image”
0x000000fc0000-0x000002000000: “spare”
MACsec IEEE802.1AE
libphy:Fixed MDIO Bus: probed
libphy:mdio_driver_register: xgmiitorgmii
tun:Universal TUN/TAP device driver, 1.6
tun: (C)1999-2004 Max Krasnyansky maxk@qualcomm.com
libphy:MACB_mii_bus: probed
macbe000b000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000b000 irq 27(00:0a:35:00:01:22)
Marvell 88E1510e000b000.etherne:00: attached PHY driver [Marvell 88E1510](mii_bus:phy_addr=e000b000.etherne:00, irq=-1)
ehci_hcd:USB 2.0 ‘Enhanced’ Host Controller (EHCI) Driver
usbcore:registered new interface driver usb-storage
usbcore:registered new interface driver usbserial
usbcore:registered new interface driver usbserial_generic
usbserial:USB Serial support registered for generic
usbcore:registered new interface driver ftdi_sio
usbserial:USB Serial support registered for FTDI USB Serial Device
e0002000.usbsupply vbus not found, using dummy regulator
ULPItransceiver vendor/product ID 0x0451/0x1507
Found TITUSB1210 ULPI transceiver.
ULPIintegrity check: passed.
ci_hdrcci_hdrc.0: EHCI Host Controller
ci_hdrcci_hdrc.0: new USB bus registered, assigned bus number 1
ci_hdrcci_hdrc.0: USB 2.0 started, EHCI 1.00
hub 1-0:1.0:USB hub found
hub 1-0:1.0:1 port detected
mousedev:PS/2 mouse device common for all mice
i2c /deventries driver
usbcore:registered new interface driver uvcvideo
USB VideoClass driver (1.1.1)
gspca_main:v2.14.0 registered
cdns-wdtf8005000.watchdog: Xilinx Watchdog Timer at e088a000 with timeout 10s
Xilinx ZynqCpuIdle Driver started
sdhci:Secure Digital Host Controller Interface driver
sdhci:Copyright(c) Pierre Ossman
sdhci-pltfm:SDHCI platform and OF driver helper
mmc0: SDHCIcontroller on e0100000.sdhci [e0100000.sdhci] using DMA
ledtrig-cpu:registered to indicate activity on CPUs
hidraw: rawHID events driver (C) Jiri Kosina
usbcore:registered new interface driver usbhid
usbhid: USBHID core driver
NET:Registered protocol family 17
RegisteringSWP/SWPB emulation handler
mmc0: newhigh speed SDHC card at address 0002
mmcblk0:mmc0:0002 SD08G 7.56 GiB
mmcblk0: p1 p2
Console:switching to colour frame buffer device 128x37
axi-hdmi70e00000.axi_hdmi: fb0: frame bufferdevice
usb 1-1: newhigh-speed USB device number 2 using ci_hdrc
[drm]Initialized axi_hdmi_drm 1.0.0 20120930 on minor 0
asoc-simple-cardadv7511_hdmi_snd: hdmi-hifi.0 <-> 75c00000.axi-spdif-tx mapping ok
asoc-simple-cardzed_sound: adau-hifi <-> 77600000.axi-i2s mapping ok
hctosys:unable to open rtc device (rtc0)
ALSA devicelist:
#0: HDMI monitor
#1: ZED ADAU1761
random: fastinit done
hub 1-1:1.0:USB hub found
hub 1-1:1.0:4 ports detected
usb 1-1.1:new low-speed USB device number 3 using ci_hdrc
input: USBOPTICAL MOUSE as/devices/soc0/amba/e0002000.usb/ci_hdrc.0/usb1/1-1/1-1.1/1-1.1:1.0/0003:2188:0AE1.0001/input/input0
hid-generic0003:2188:0AE1.0001: input,hidraw0: USB HID v1.11 Mouse [USB OPTICAL MOUSE ] onusb-ci_hdrc.0-1.1/input0
usb 1-1.3:new low-speed USB device number 4 using ci_hdrc
input: SEMUSB Keyboard as/devices/soc0/amba/e0002000.usb/ci_hdrc.0/usb1/1-1/1-1.3/1-1.3:1.0/0003:1A2C:0E24.0002/input/input1
hid-generic0003:1A2C:0E24.0002: input,hidraw1: USB HID v1.10 Keyboard [SEM USB Keyboard]on usb-ci_hdrc.0-1.3/input0
input: SEMUSB Keyboard as/devices/soc0/amba/e0002000.usb/ci_hdrc.0/usb1/1-1/1-1.3/1-1.3:1.1/0003:1A2C:0E24.0003/input/input2
hid-generic0003:1A2C:0E24.0003: input,hidraw2: USB HID v1.10 Device [SEM USB Keyboard] onusb-ci_hdrc.0-1.3/input1
EXT4-fs(mmcblk0p2): recovery complete
EXT4-fs(mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
VFS: Mountedroot (ext4 filesystem) on device 179:2.
devtmpfs:mounted
Freeingunused kernel memory: 264K (c07b4000 - c07f6000)
Thisarchitecture does not have kernel memory protection.
init:hwclock main process (891) terminated with status 1
init:ureadahead main process (892) terminated with status 5

cat:/var/lib/update-notifier/fsck-at-reboot: No such file or directory
run-parts:/etc/update-motd.d/98-fsck-at-reboot exited with return code 1
Welcome toLinaro 12.09 (GNU/Linux 4.9.0 armv7l)

  • Documentation: https://wiki.linaro.org/

0 packagescan be updated.
0 updatesare security updates.

0 packagescan be updated.
0 updatesare security updates.

The programsincluded with the Linaro system are free software;
the exactdistribution terms for each program are described in the
individualfiles in /usr/share/doc/*/copyright.

Linaro comeswith ABSOLUTELY NO WARRANTY, to the extent permitted by
applicablelaw.

root@linaro-ubuntu-desktop:~#
桌面的启动如下图:到此启动完成!

制作ZedBoard-linaro-desktop-ubuntu全过程之运行linaro系统相关推荐

  1. 【教程】制作能在ARM板上直接运行的gcc本地编译器

    编译好的程序的下载链接:百度网盘 请输入提取码(提取码:ocmm) 概述 通常情况下,我们是在电脑里面开一个Linux虚拟机, 在虚拟机里面用交叉编译工具链编译好可执行文件后,将可执行文件拷贝到板子里 ...

  2. Linux通过wine运行QQ,Ubuntu安装wine运行QQ和微信

    第1步,安装deepin-wine环境:上https://github.com/wszqkzqk/deepin-wine-ubuntu页面下载zip包(或用git方式克隆,或者在点此备用下载器),解压 ...

  3. 安装 Ubuntu 9.10 服务器版本系统全过程

    安装 Ubuntu 9.10 服务器版本系统全过程 最近搭建 Oracle 服务器,先装了一个 Ubuntu 服务器版本 Linux 系统.这里总结一下安装 Ubuntu 9.10 服务器版本系统全过 ...

  4. 双系统(win10+Ubuntu 20.04.5)- 使用U盘安装Ubuntu 20.04.5 LTS系统的全过程

    使用U盘安装Ubuntu 20.04.5 LTS系统的全过程 说明 安装步骤 第一步 设置BIOS启动界面 第二步 将安全启动选项关闭 第三步 进行ubuntu安装 (1)install Ubuntu ...

  5. 制作U盘安装Ubuntu系统

    制作U盘安装Ubuntu系统: 1.下载Ubuntu 桌面版iso(版本不限) 2.下载安装Ultraiso软件 3.插入U盘(2G以上都可) 4.用Ultraiso软件打开Ubuntu iso,点击 ...

  6. 用Cocos Studio 2.3.2制作UI界面中控件不再支持运行3d动作特效

    用Cocos Studio 2.3.2制作UI界面中控件不再支持运行3d动作特效  cocos2d-x + cocos studio无疑是当下二维手游开发的利器,但是在从旧版本向新版本的升级过程中,坑 ...

  7. 在Ubuntu中自动运行KMS服务

    在Ubuntu中自动运行KMS服务 安装最新的vlmcsd releases版本 使用wget下载: wget https://github.com/Wind4/vlmcsd/releases/dow ...

  8. linux镜像文件不要大于4g,Systemback制作大于4G的Ubuntu系统镜像

    1 安装Systemback 依此执行如下命令. sudo apt-get update sudo add-apt-repository ppa:nemh/systemback sudo apt-ge ...

  9. WIN10安装ubuntu全过程

    WIN10安装ubuntu全过程 第一步:启用linux子系统! 第二步:下载windows linux 子系统 第三步:安装ubuntu 18.04 第一步:启用linux子系统! 然后电脑要重启! ...

  10. 在linux上使用labelImg制作LMDB数据集——备忘TX2上运行

    # -*- coding:utf-8 -*- https://blog.csdn.net/hitzijiyingcai/article/details/81636455 讲的很详细,总体来说,步骤如下 ...

最新文章

  1. 深圳某女孩身家上千万,却称自己不配追求大厂程序员
  2. 自从安上了“AI”,这些商务经理天天按时下班了
  3. 今年Q3发布!小米12至尊版曝光:升级骁龙8 Plus处理器
  4. [leetcode]328. Odd Even Linked List
  5. 修改mongodb最大查询数_mongodb数据库如何查询某个字段的最大值?
  6. linux终端什么字体舒服,推荐一款 Linux 上比较漂亮的字体
  7. python的优点有哪些-Python为何这么火,究竟有哪些优势?
  8. 初学JAVA随记——8bit(1byte)的取值范围是+127到—128
  9. 聊一下《技术力量-一线技术团队成功启示录》
  10. JS 微信公众号如何跳转到另一个微信公众号的链接
  11. Augustus:真核生物基因结构预测软件-安装篇
  12. EChart案例-折线面积渐变色
  13. 高数 | 【不定积分】基础知识点梳理 及 经典例题、李林880求不定积分例题
  14. 我的青春恋爱物语果然有问题。完-OP分析
  15. 互联网快讯:极米投影仪获用户青睐;粉笔科技创新OMO模式谋突围;华虹半导体拟科创板上市
  16. H5点击图片保存本地
  17. Oracle EBS Interface/API(35) -创建供应商地点API
  18. Hadoop实战篇(1)
  19. 在谷歌Chrome上用VLC低延迟(300毫秒)播放播放海康大华RTSP
  20. WDS+ADK+MDT部署

热门文章

  1. 关于阿里直播 安卓手机支付宝不支持的处理
  2. 第二周:神经网络的编程基础(Basics of Neural Network programming)
  3. python计算现场得分_如何使用Python(scikitlearn)计算factorananalysis得分?
  4. Qt 获取控件位置坐标,屏幕坐标,相对父窗体坐标
  5. 数字万用表各指标含义:准确度(精度)分辨力(分辨率)测量范围--转
  6. (Linux)误删文件恢复命令及方法
  7. 二维彩虹二维码产品功能更新:增加“赞赏”、“重置”功能
  8. 用好这些小工具,基金可以更赚钱
  9. golang 支付宝小程序 登陆
  10. 上海电力大学计算机科学与技术学院,栗风永 - 上海电力大学 - 计算机科学与技术学院...