本文依据网络资料及工作经验整理而成,如有错误请留言。
文章为个人辛苦整理,付费内容,禁止私自转载。
文章专栏:《黑猫的FPGA知识合集》

(1) 对所有的信号名、变量名和端口名都用小写,这样做是为了和业界的习惯保持一致;对常量名和用户定义的类型用大写;

(2) 使用有意义的信号名、端口名、函数名和参数名;

(3) 信号名长度不要太长;

(4) 对于时钟信号使用clk 作为信号名,如果设计中存在多个时钟,使用clk 作为时钟信号的前缀;

(5) 对来自同一驱动源的信号在不同的子模块中采用相同的名字,这要求在芯片总体设计时就定义好顶层子模块间连线的名字,端口和连接端口的信号尽可能采用相同的名字;

(6) 对于低电平有效的信号,应该以一个下划线跟一个小写字母b 或n 表示。注意在同一个设计中要使用同一个小写字母表示低电平有效;

(7) 对于复位信号使用rst 作为信号名,如果复位信号是低电平有效,建议使用rst_n;

(8) 当描述多比特总线时,使用一致的定义顺序,对于verilog 建议采用bus_signal[x:0]的表示;

(9) 尽量遵循业界已经习惯的一些约定。如*_r 表示寄存器输出,_a 表示异步信号,_pn 表示多周期路径第n 个周期使用的信号&#

【verilog教程】第10篇:verilog代码规范相关推荐

  1. ASP.NET 5系列教程(七)完结篇-解读代码

     在本文中,我们将一起查看TodoController 类代码. [Route] 属性定义了Controller的URL 模板: [Route("api/[controller]&quo ...

  2. fastreport文本字数太多换行_Python教程第10篇:聊聊print换行输出和重复多次打印...

    在昨天的教程文末,小编送了一句代码给各位: print("I Love You!\n"*500) 各位在Python中测试输出了吗?是否有遇到问题.(由于Python公众号,暂时还 ...

  3. python重复输出_Python教程第10篇: python print换行输出和python将一句话重复n次输出...

    内容提要:本篇Python教程分享了两个问题的解决方法,分别是python print换行输出和python将一句话重复n次输出. 学习到现在,我们的Python教程进入第10课的学习.刚才小编在网站 ...

  4. 微信公众帐号开发教程第10篇-解析接口中的消息创建时间CreateTime

    从微信公众平台的消息接口指南中可以看出,每种类型的消息定义中,都包含有CreateTime参数,它表示消息的创建时间,如下图所示: 上图是消息接口指南中4.1-文本消息的定义.注意CreateTime ...

  5. Verilog初级教程(23)Verilog仿真中的显示任务

    文章目录 前言 正文 Display/Write Tasks Verilog Strobes Verilog Continuous Monitors Verilog Format Specifiers ...

  6. Verilog初级教程(21)Verilog中的延迟控制语句

    文章目录 前言 正文 延迟控制语句 事件控制语句 Named Events Event or operator 往期回顾 参考资料及推荐关注 前言 Verilog中的延迟控制有两种类型–延迟和事件表达 ...

  7. Verilog初级教程(20)Verilog中的`ifdef 条件编译语句

    文章目录 前言 正文 语法 示例 Testbench文件 往期回顾 参考资料及推荐关注 前言 `ifdef条件编译语句在逻辑设计中还是很常见的,但也常见一些滥用的情况,这应该避免. 应该在什么情况下使 ...

  8. Verilog初级教程(15)Verilog中的阻塞与非阻塞语句

    文章目录 前言 正文 阻塞赋值 非阻塞赋值 往期回顾 参考资料以及推荐关注 前言 本文通过仿真的方式,形象的说明阻塞赋值以及非阻塞赋值的区别,希望和其他教程相辅相成,共同辅助理解. 正文 阻塞赋值 阻 ...

  9. Verilog初级教程(14)Verilog中的赋值语句

    文章目录 前言 正文 合理的左值 过程性赋值(Procedural assignment) 连续赋值 过程连续性赋值 往期回顾 前言 何为赋值语句?即将值放到线网或者变量上,这种操作称为赋值,英文:a ...

  10. Verilog初级教程(12)Verilog中的generate块

    文章目录 前言 正文 generate for generate if generate case 参考资料 本系列博文 前言 verilog中的generate块可以称为生成块,所谓生成,可以理解为 ...

最新文章

  1. oracle错误:ORA-12545
  2. saltstack-gdlwolf自学总结第二篇:salt常用帮助查找命令
  3. MATLAB实战系列(二十一)-基于遗传算法的BP神经网络优化算法(附MATLAB代码)
  4. linux不自动创建sda1,linux下头挂载新硬盘(转)
  5. D. Binary Literature
  6. 个人技术博客Alpha----Android Studio UI学习
  7. 用java设计节拍器_具有高速的Java节拍器
  8. oracle ado6,c# ado 连接数据库 六步曲
  9. 火狐浏览器中打开java_Ubuntu下通过Firefox Opera Chromium浏览器直接执行java应用程序(打开java jnlp文件)实现在服务器远程虚拟控制台完成远程管理的方法...
  10. Altium AD20更改原理图背景颜色
  11. 如何手动优化神经网络模型
  12. 基于asp.net317员工出差企业差旅管理系统
  13. oracle混音插件教程,【图片】【教学】waves混音插件官方教学贴,长期更新_混音吧_百度贴吧...
  14. [转载]八种常见的防盗链方法总结及分析
  15. 斯芬克怎么样 谁说我没有担心
  16. [机器学习入门] 李宏毅机器学习笔记-21(Transfer Learning part 1 ; 迁移学习 part 1)
  17. html 汉字美化,HTML5 | 0 0 6 - 美化字体
  18. js会员头像上传拖动处理头像类
  19. c语言笔记(第一周)
  20. Swoole入门教程

热门文章

  1. 【hdu1556】Color the ball——树状数组
  2. 在Eclipse的DDMS中查找genymotion模拟器的sdcard中的文件---开发中遇到的问题(一)...
  3. R(Kmeans绘制地形图)
  4. 樊登读书会极致读后感_樊登:我只不过是把好为人师发挥到了极致
  5. centos7建站php_Centos7PHP环境搭建
  6. python自定义函数如何命名_Python 自定义类之函数和运算符重载
  7. linux下mysql5.7环境
  8. qt信号槽踩坑日记(信号执行一次,槽函数执行多次解决方案)
  9. 交换机配置snmp/trap/静态路由/LLDP服务详细步骤/华为交换机忘记登录密码
  10. 通过哈希MD5实现加密(python3.X)