模块代码

`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date:    13:40:18 09/25/2019
// Design Name:
// Module Name:    touch_led_top
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//
module touch_led_top(input      clk,input       rst_n,input         touch_key,output  reg led);reg touch_key_d0;
reg touch_key_d1;
wire touch_key_flag;//边沿检测代码
assign touch_key_flag = (~touch_key_d1) & touch_key_d0;//检测上升沿
//assign touch_key_flag = touch_key_d1 & (~touch_key_d0);//检测下降沿always@(posedge clk or negedge rst_n)beginif(!rst_n)begintouch_key_d0 <= 1'b0;touch_key_d1 <= 1'b0;endelse begintouch_key_d0 <= touch_key;touch_key_d1 <= touch_key_d0;end
end//led赋值代码
always@(posedge clk or negedge rst_n)beginif(!rst_n)led <= 1'b1;elseif(touch_key_flag)led <= ~led;elseled <= led;
endendmodule

仿真代码

`timescale 1ns / 1ps// Company:
// Engineer:
//
// Create Date:   10:07:01 09/26/2019
// Design Name:   touch_led_top
// Module Name:   C:/Verilog/touch_led/td_touch_led.v
// Project Name:  touch_led
// Target Device:
// Tool versions:
// Description:
//
// Verilog Test Fixture created by ISE for module: touch_led_top
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// module td_touch_led;// Inputsreg clk;reg rst_n;reg touch_key;// Outputswire led;// Instantiate the Unit Under Test (UUT)touch_led_top uut (.clk(clk), .rst_n(rst_n), .touch_key(touch_key), .led(led));always #10 clk=~clk;//20nm时钟信号initial begin// Initialize Inputsclk = 0;rst_n = 0;touch_key = 0;// Wait 100 ns for global reset to finish#20;rst_n = 1;#100;touch_key = ~touch_key;#100;touch_key = ~touch_key;     #100;touch_key = ~touch_key;#100;touch_key = ~touch_key;        // Add stimulus hereendendmodule

仿真图片

按键边缘检测,控制LED亮灭相关推荐

  1. STM32按键中断控制led亮灭

    仿真 本实验通过开发板上载有的3个按钮(KEY_UP.KEY0.KEY1),来控制板上的2个LED(DS0和DS1), 其中KEY0控制DS0,按一次亮,再按一次,就灭.KEY1控制DS1,效果同KE ...

  2. 【嵌入式】——串口实验——实现芯片串口收发数据,按键中断串口发送数据,串口接收数据中断来控制LED亮/灭

    实验目的: 实现芯片串口收发数据,按键中断串口发送数据:按下按键,向串口发送数据,并通过虚拟终端显示出来: 串口接收数据中断来控制LED亮/灭:通过串口助手向MCU发送数据,"A" ...

  3. 按键控制LED亮灭(按一次常亮和按一次亮一下)

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 文章目录 前言 一.按键按一次LED一直亮 二.按一下按键LED亮一下 总结 前言 一.51单片机按键控制LED亮灭 51单片机的LE ...

  4. C51---3.2 独立按键控制LED亮灭状态

    C51---3.2 独立按键控制LED亮灭状态 原理图 按键消抖 main函数 原理图 按键消抖 对于机械开关,当机械触点断开.闭合时,由于机械触点的弹性作用,一个开关在闭合时不会马上稳定地接通,在断 ...

  5. 沁恒CH582M开发板-2-按键扫描(按键控制LED亮灭)

             沁恒CH582M开发板-按键扫描(按键控制LED亮灭) 硬件准备: 源地CH582M核心板开发板 RISC-V沁恒WCH蓝牙BLE5.3双路USB: USB-Typec数据线,面包板 ...

  6. 九、stm32-蓝牙HC_05(接发通信、控制LED亮灭)

    目录 一.固件库模板 二.准备资料 三.简介 1. 引脚 2. AT: 设置工作模式 3. 基本配置(所有AT指令都必须换行) 4. AT命令的详细说明 四.实验 1.硬件设计 2.软件设计 2.1 ...

  7. 基于STC15W4K32S4单片机仿真《外部中断INT0控制LED亮灭》

    1. 编 程 软 件:keil5版本. 2.仿 真 软 件:Proteus8.12 3.单片机选型:STC15W4K32S4 4.学 习 目 标:   1.学习外部中断INT0 5.程 序 文 件 : ...

  8. 十、stm32-ESP8266(串口透传、MCU透传、控制LED亮灭)

    目录 一.固件库模板 二.准备资料 三.简介 1. 引脚 2. 无线组网 2.1 ESP8266 在 SoftAP 模式 2.2 ESP8266 在 station 模式 2.3 ESP8266 在 ...

  9. ESP32E基于Thonny——python框架,PC端进行局域网控制led亮灭

    ESP32基于Thonny--python框架,PC端进行局域网控制led亮灭 用中文讲,该如何实现这个功能呢? 1:成功连接wifi 2:能循环正常接收数据 3:判断接收的数据,使led亮灭 就3步 ...

  10. 树莓派4B-Python-基于rpi_ws281x库控制LED亮灭

    树莓派4B-Python-基于rpi_ws281x库控制LED亮灭 树莓派4B-Python-基于rpi_ws281x库控制LED亮灭 树莓派4B-Python-基于rpi_ws281x库控制LED亮 ...

最新文章

  1. mysql主从整套方案_Mysql主从方案
  2. Android 之一 Android Studio 安装、配置等新手入门 + 百度地图定位 + 移动摇杆 的实现
  3. 二叉树题目----1 前序中序后序遍历二叉树并返回相应的遍历(不是打印)
  4. LeetCode 26. Remove Duplicates from Sorted Array
  5. [note]抽象类和接口的相同点和不同点
  6. Java语法——标识符,关键字,数据类型,变量常量介绍
  7. java中对象与字节数组相互转换
  8. jquery获取设置元素宽高位置height()、width()、offset()、position()、scrollTop()、scrollLeft()
  9. server.xml引入子文件配置(tomcat虚拟主机)[转]
  10. 序列号大全,装机必备
  11. Python常用模块8-Python的email模块简介
  12. 2010年11月30日
  13. 我自己在学arm7——ourdev
  14. 能上QQ无法打开网页
  15. linux pt 客户端,下载工具系列——rTorrent (轻量级优秀BT/PT客户端)
  16. 高等数学 —— 数列的极限
  17. 2022/11/6周报
  18. 微信小程序---快速上手云开发
  19. 安卓手机如何打开.crx文件_如何在安卓手机上使用Chrome插件
  20. 从51信用卡到OAuth2协议

热门文章

  1. 微信小程序----返回上一页刷新或当前页刷新
  2. 网页连接至数据库(asp->mdb)
  3. 得出一个月有多少工作日
  4. Vue3比Vue2有什么优势/区别
  5. lenovo thinkpad t460s opensuse linux 下禁用多点触控屏
  6. 精准电流走向分析|用笔记本电脑的供电电路描述MOS管的两大功能:开关作用和隔离功能
  7. 从 BeReal 爆火背后,探秘图片社交新范式
  8. 垃圾分类很难吗?这是一篇来自AI垃圾回收箱的灵魂拷问…
  9. VS无法打开项目文件“Web.csproj” -此安装不支持该项目类型问题解决方案
  10. Linux:error while loading shared libraries: /usr/lib/xx.so.xx: file too short