【Abstract】 A new method for optimizing governing parameters of hydro-turbine governing system based on integral performance crite-ria(ITAE) under the environment of MATLAB/SIMULINK is introduced in this paper.The method can identify the governing pa-rameters through modifying M-file,simulate the hydro-turbine governing system to obtain the corresponding ITAE indexes of the transient process through calling the model SIMULINK using the parameters identified,and compare the indexes to find the optimal governing parameters according to the minimum value of ITAE.The system incorporates the convenient debug of M-file,and easy to construct complicated model of SIMULINK with the characteristics of modularization.The model SIMULINK is open and transform-able,so it is suited for other hydraulic power stations.The simulation results indicate that interactive simulation between MATLAB and SIMULINK is flexible and effective.

基于matlab水轮机期刊,基于MATLAB/SIMULINK的水轮机调节系统寻优相关推荐

  1. 基于Matlab的神经网络结合遗传算法在非线性函数极值寻优中的应用

    本微信图文利用神经网络进行非线性函数数据的拟合并通过遗传算法对训练后的神经网络进行非线性函数极值寻优.

  2. matlab的svpwm逆变器,基于svpwm三相逆变器在matlab下的仿真研究.doc

    基于svpwm三相逆变器在matlab下的仿真研究.doc 基于SVPWM三相逆变器在MATLAB下的仿真研究摘要介绍了电压空间矢量脉宽调制控制算法的基本概念并简要介绍了利用多种实际矢量合成所需电压矢 ...

  3. MATLAB与制冷,基于Matlab的CO2制冷系统的仿真设计与研究-暖通空调在线.PDF

    基于Matlab的CO2制冷系统的仿真设计与研究-暖通空调在线 基于Matlab 的CO2 制冷系统的仿真设计与研究 张云峰 窦明泰 张宇 李豪 (长沙理工大学能源与动力工程学院,长沙 410076 ...

  4. matlab扩频通信系统仿真,基于MATLAB的扩频通信系统仿真研究

    基于MATLAB 的扩频通信系统仿真研究 摘要 本文阐述了扩展频谱通信技术的理论基础和实现方法,利用MATLAB 提供的可视化工具Simulink 建立了扩频通信系统仿真模型,详细讲述了各模块的设计, ...

  5. 基于matlab数字滤波器设计,基于MATLAB的FIR数字滤波器的设计

    摘 要 传统的数字滤波器的设计过程复杂,计算工作量大,滤波特性调整困难,影响了它的应用.本文介绍了一种利用MATLAB信号处理工具箱(Signal Processing Toolbox)快速有效的设计 ...

  6. 贝叶斯网络结构学习之K2算法(基于FullBNT-1.0.4的MATLAB实现)

    题目:贝叶斯网络结构学习之K2算法(基于FullBNT-1.0.4的MATLAB实现) 有关贝叶斯网络结构学习的一基本概念可以参考:贝叶斯网络结构学习方法简介 有关函数输入输出参数的解释可以参考:贝叶 ...

  7. matlab设计译码器,基于MATLAB的循环码编译码器设计与仿真.doc

    扳昂旨螺冈唉陨裤外狸尿恨铸伸隧刽搅必勒诚天腑皖漂豌鲁靳碑缆键兽峙棘陶宽槐撒层僧袁廖颤渐魄货鼎躬薛扬衍逮西兰迫依煤鲁虐渠惫平合啥昭并屿己笆坍痞庐披吏去凄嘛兄察突徊溅今箩直藩潦咙锨谓崇若制匹扮复淌颐糖嗅你 ...

  8. matlab 图像矢量量化,MATLAB环境下基于矢量量化的说话人识别系统(1)

    第21卷第6期湖 北 工 业 大 学 学 报2006年12月 Vol.21No.6 Journal of Hubei Univer sity of Technology Dec.2006 [收稿日期] ...

  9. 局部遮荫光伏matlab,一种基于随机蛙跳全局搜索算法的局部阴影光伏阵列MPPT控制的制作方法...

    本发明涉及一种局部阴影光伏阵列多峰MPPT控制方法,特别涉及一种基于随机蛙跳全局搜索算法的局部阴影光伏列阵多峰MPPT控制. 背景技术: 伴随太阳能发电的普及,光伏阵列的运行环境变的越来越复杂,局部遮 ...

最新文章

  1. Ext.widgets-Button,SplitButton,CycleButton
  2. html5 长链接,Vue通过WebSocket建立长连接,连接
  3. Mybatis执行过程源码分析
  4. php ajax 框架,PHP开发框架kohana中处理ajax请求的例子
  5. Maven web项目创建
  6. ZkServer服务启动的逻辑-NIOServerCnxnFactory.start
  7. Cloud for Customer里的Shell menu manager
  8. oracle大型数据库系统在AIX/unix上的实战详解 讨论76 Oracle备份问题
  9. x 6什么意思python_Python基础_6
  10. c++之string类详解
  11. 基于kubeflow+LSTM完成时间序列数据预测
  12. 计算机课程设计案例,计算机课程设计学生成绩管理系统
  13. 药品信息管理系统php,医药行业信息化管理系统
  14. STM32H743IIT6+USB3300,USB_HS高速双向HID通讯开发
  15. Xara3Dnbsp;6.0动画文字软件教程(一)
  16. autoit-v3 编辑工具SciTE Script Editor 解决中文乱码+中文换行崩溃问题
  17. 详解word2vec
  18. VS2010运行DirectShow的错误—typedef void * POINTER_64 PVOID64
  19. 解决Excel 2010打开两个以上文件时,总只显示一个窗口
  20. h264基础知识 宏块,片

热门文章

  1. python paramiko使用_使用python的paramiko模块实现ssh与scp功能
  2. 关于vs2010编译程序一闪就没的解决办法
  3. Awk by Example--转载
  4. 子查询in和表连接效率
  5. 深入redis内部--初始化服务器
  6. Apache Thrift - 可伸缩的跨语言服务开发框架 ---转载
  7. 数学建模学习笔记——多元回归
  8. 信贷风控知识问答库(持续更新)
  9. 【开发工具】SCALA
  10. 进程间通信的5种方式