使用verilog语言实现流水灯设计并进行仿真波形验证如下:

下面是在vivado下仿真截图:

流水灯顶层模块包括分频器和状态机组成

顶层模块如下:
module ledwater(
input clk,//时钟
input rst,//复位
input x,//工作模式控制   为0从右往左,为1从左往右
input  [1:0]speed,//流水的速度控制,speed 01速度最快0.24s 到最慢依次是 11 :0.48s 10:0.96s 00:1.92s
output  reg [7:0] Y
    );

下述几个模块:

module debounce(
    input clk,
    input reset,
    input noisy,
    output reg clean
    );
       parameter NDELAY = 650000;
       parameter NBITS = 20;
       reg [NBITS-1:0] count;
       reg xnew;
    always @(posedge clk)
         if (reset) begin xne

基于verilog流水灯设计相关推荐

  1. (98)Verilog HDL:流水灯设计

    (98)Verilog HDL:流水灯设计 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL:流水灯设计 5)结语 1.2 FPGA简介 FPGA( ...

  2. 基于51单片机的51单片机的LED彩灯霓虹灯控制器(心形流水灯)设计

    基于51单片机的51单片机的LED彩灯霓虹灯控制器(心形流水灯)设计 必看!!视频讲解 基于51单片机的LED彩灯霓虹灯心形流水灯设计 这里写目录标题 1 开发环境 2 功能说明介绍 3 仿真图 4 ...

  3. 基于51单片机的花样流水灯设计(源码+仿真+设计报告)

    资料编号:113 采用51单片机的IO控制多个LED灯,实现多种模式的流水风,全套资料齐全:具体功能请看下方演示视频 113-基于51单片机的花样流水灯设计(源码+仿真+设计报告) 单片机最小系统介绍 ...

  4. (37)FPGA花样流水灯设计(第8天)

    (37)FPGA花样流水灯设计(第8天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA花样流水灯设计(第8天) 5)技术交流 6)参考资料 2 FPGA ...

  5. FPGA零基础学习:LED流水灯设计

    FPGA零基础学习:LED流水灯设计 本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的"傻瓜式"讲解,让电子.信息.通信类专 ...

  6. Keil软件使用及流水灯设计

    Keil软件使用及流水灯设计 在点亮流水灯的同时,我们要对移位操作进行了解 左移 在C51中操作符"<<",每执行一次左移指令,会将最高位移入单片机寄存器的CY位(CY ...

  7. 基础实验二:流水灯设计实现【硬件课程设计】

    基础实验二:流水灯设计实现[硬件课程设计] 前言 推荐 基础实验二:流水灯设计实现 1.实验内容 2.实验原理图设计 3.实验仿真与仿真结果 4.遇到的问题及解决办法 V0:首先设计了从中间向俩边&l ...

  8. 一、点亮LED和流水灯设计

    点亮LED和流水灯设计 参考书籍:51单片机C语言教程 视频链接:参考视频 单片机型号:巫妖王51单片机V2版 单片机概述 什么是单片机? Micro Controller Unit简称MCU,即单片 ...

  9. LED流水灯设计-ISE操作工具

    本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的"傻瓜式"讲解,让电子.信息.通信类专业学生.初入职场小白及打算进阶提升的职 ...

最新文章

  1. NOIp 2014 #2 联合权值 Label:图论 !!!未AC
  2. epoll机制:epoll_create、epoll_ctl、epoll_wait、close
  3. python逐行写入excel_快来看看Python如何玩转Excel
  4. Kyle Torpey:当前在以太坊发送WBTC成本比链上进行BTC交易成本更高
  5. 常见关联图库之欺诈指数排位战
  6. TeXmacs - 所见即所得 - 专业排版软件
  7. 研究年轻用户的心理影响排名 Instagram最负面
  8. 10个免费域名转发地址 无广告 无需要注册
  9. 栈和队列的Java实现
  10. 【Storm总结-6】Twitter Storm: DRPC简介
  11. 删除下拉框只找23火星软件_下拉框找20火星软件
  12. 哪些排序是不稳定的?稳定又意味着什么?
  13. 超威主板关闭超线程教程
  14. Elasticsearch语法大全
  15. 利用Cam对Hopenet网络模型进行可视化
  16. layui查档页面_首页--layui后台管理模板 2.0
  17. 树莓派 和 电脑共用显示屏 无屏幕玩转树莓派
  18. git 提交代码某个文件夹一直提交不上去
  19. 爬虫遇到图片禁止访问(如403)
  20. 东北人都难懂的东北话

热门文章

  1. UI Startup analysis - sap.ui.core.Core what js files are loaded
  2. SAP UI5 library-dbg.js - local location
  3. SAP UI5 BindingParser
  4. run webIDE application using mock data
  5. WordPress同Kyma成功建立连接后,存放在mysql里的Kyma entry
  6. Maven plugin - maven-dependency-plugin
  7. ATOM editor recommended by Sean
  8. SAP UI5 Resource bundle getText的实现逻辑
  9. SAP CRM里的settype和relationship有什么区别
  10. 使用postman和SAP C4C OData服务创建销售订单