文章目录

  • 软件下载
    • 安装包下载
    • 官网下载
  • 安装教程
    • 安装软件
    • 安装器件库(未实践)

软件下载

安装包下载

Quartus II 13.0 (64bit)安装包下载地址

官网下载

安装教程

安装软件

安装教程

  1. 解压【Quartus II 13.0 (64bit).zip】

  2. 双击运行【QuartusSetup-13.0.1.232.exe】,点击【Next >】

  3. 勾选【I accept the agreement】,点击【Next >】

  4. 可以选择更改安装路径,然后点击【Next >】

  5. 点击【Next >】

  6. 点击【Next >】

  7. 等待安装

  8. 点击【Finish】

  9. 选择第二个,点击【OK】

  10. 选择“tools”下方的“license setup”后,会弹出如下窗口,复制第一个ID即可

  11. 复制【Quartus_13.0_x64破解器.exe】到【D:\altera\13.0sp1\quartus\bin64】下,双击运行,点击【应用】

  12. 点击【保存】

  13. 点击【退出】破解器

  14. 打开刚才生成的【license.dat】文件

  15. 用刚才复制的ID覆盖掉XXXXX

  16. 大功告成,可以看到有效期到2035年

安装器件库(未实践)

  1. 下载芯片相关的器件库,☞官网

  2. 下载完成的 .qzd 文件,一定要放到 安装 Quartus II 软件的 bin目录下

  3. 双击运行【Quartus || 13.0sp1 Device Installler】

  4. 点击 Next

  5. 选择 你所要安装的器件库,选择完之后,点击Next 按钮。

  6. 继续 点击 Next 。

  7. 点击 Finish ,完成安装

Quartus | FPGA开发工具(Inter系列芯片)相关推荐

  1. FPGA开发工具套餐搭配推荐及软件链接(工程师们转起来)

    FPGA开发工具套餐搭配推荐及软件链接(工程师们转起来) FPGA之家 今天 以下文章来源于FPGA开源工作室 ,作者相量子 FPGA开源工作室 知识,创新,创艺,FPGA,matlab,opencv ...

  2. FPGA开发工具套餐搭配推荐及软件链接 (更新于2020.03.16)

    一.Xilinx(全球FPGA市场份额最大的公司,其发展动态往往也代表着整个FPGA行业的动态) (1) Xilinx官方软件下载地址链接: https://china.xilinx.com/supp ...

  3. (4)FPGA开发工具介绍(第1天)

    (4)FPGA开发工具介绍(第1天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA开发工具介绍(第1天) 5)技术交流 6)参考资料 2 FPGA初级课 ...

  4. xilinx fpga 开发工具vivado 软件的安装,使用详细教程

    文章目录 xilinx fpga 开发工具vivado 软件的安装,使用详细教程 xilinx fpga 开发工具vivado 软件的安装,使用详细教程 点此下载vivado软件的安装说明文档 点此下 ...

  5. Xilinx ISE、Synplify、Modelsim之间的关系,为什么会存在比ISE更专业的FPGA开发工具?

    虽说Xilinx的ISE内自带了综合和仿真工具,但是在网络论坛上很多人都说这两个功能模块(特别是仿真模块)并不好用而且不专业,所以建议专业用户使用Synopsys公司提供的Synplify.Synpl ...

  6. intel fpga 开发工具Quartus Prime 软件的安装,使用详细教程

    文章目录 INTEL (altera) 系列FPGA的安装使用详细教程 INTEL (altera) 系列FPGA的安装使用详细教程 详细教程点击此文档 : 此文档对Quartus 18.1开发工具的 ...

  7. Lattice FPGA 开发工具Diamond使用流程总结——IP核使用、原语调用

    由于前面文章已经讲解了ip的使用方式,此文讲解利用PLL核产生相位可调的时钟信号,以及IO delay原语,这些在高速接口中经常用到.注意此文针对的是ECP5系列芯片. 一.利用PLL核产生相位可调的 ...

  8. Vivado | FPGA开发工具(Xilinx系列芯片)

    文章目录 软件下载 安装包下载 官网下载 安装教程 软件下载 安装包下载 官网下载 官网下载地址 安装教程 最详细的Vivado安装教程 Vivado的安装以及使用_入门

  9. Xilinx FPGA开发工具总结

    在xilinx下每种操作其实都对应着一种工具,逻辑综合,网表与constraint fie的合并,布局布线等等.下面就对各个工具做一个总结. 1,XST(Xilinx Synthesis Techno ...

最新文章

  1. linux无任务内存被占满,centos服务器CPU被GC task占满,程序无内存溢出
  2. Matlab绘制包含双Y轴的图
  3. 北斗导航 | 监测和减轻空间天气对GNSS应用的影响
  4. css margin和border,Margin、Border、Padding属性的区别和联系
  5. 8、Python MySQL - mysql-connector 驱动
  6. python面试题之介绍一下Python中webbrowser的用法
  7. Spring课程 Spring入门篇 总结
  8. java代码生成apk_android – 如何通过java代码以编程方式生成apk文件
  9. WP与IOS与Android的后台机制相同与不同
  10. 计算机基础1.4习题答案,中职计算机应用基础习题集及答案~.doc
  11. 通过Cubro解决方案轻松过渡到100Gbit
  12. 文献html阅读器,强烈推荐文献阅读器CAJviewer——大学生阅读文献、论文必备工具!!!...
  13. 精彩泄漏截图 2006最值得期待的游戏
  14. GBase 8c开发接口简述
  15. JS正则表达式手机号中间4位替换成*星号
  16. 微信支付--网页版-V3-(1)
  17. 【转】卡马克快速平方根——平方根倒数算法
  18. 接口 和 http协议
  19. Win10 启动consul服务
  20. 聚合类新闻客户端的改进

热门文章

  1. 磁盘配额(Quota)磁盘阵列(RAID)逻辑卷轴管理器(LVM)学习记录
  2. Ubuntu 平台的防火墙关闭命令
  3. 【技术面试官如何提问】
  4. 网易互娱9.05笔试
  5. 《被讨厌的勇气》书摘心得之一切烦恼都来自人际关系(2)
  6. MPX200 ROM DIY指南[转]
  7. 耳麦不能讲话怎么办?
  8. 输入一串字符,统计每个字符数,用字典输出。
  9. CTO 说公司的 ES 性能不够好、集群不够稳定!直到我用了这些调优技巧后。。。...
  10. 八种网络攻击类型,你了解多少?