摘要:在数字信号的传输过程中,有时需要从一组输入数据中选出某一个来,比如输入有 “A、B、C、D” 四个数据,那么我们想要哪个字母输出,就可以设置哪个字母输出,这里的设置,即所谓的 “选择开关” ;又或者,你可以理解为多个通道输入到单个通道的输出;于是,数据选择器(Data Selector)或多路开关(Multiplexer)的逻辑电路便应运而生。

选择器  

上代码!下面是 2 选 1 数据选择器的 Verilog 代码实现:

module Multiplexer(
    input wire a, // 输入数据信号
    input wire b, // 输入数据信号
    input wire sel, // 输入控制信号
    output result // 输出数据信号_已选择
    ); assign result = sel ? a : b;endmodule

  这是 2 选 1 数据选择器的设计代码,非常简单明了,主要是输入数据 a 和 b,然后通过 sel 信号来选择输出是 a 还是 b。倘若 sel 拉高,即为 “1” 的时候,那么就输出 “a”,反之,倘若 sel 拉低,即为 “0” 的时候,那么就输出 “b”。  

  这是一个数据选择器设计,该设计是一个直接用组合逻辑语句或者也可以说是连续赋值语句 assign 的方式描述的电路,其 RTL 电路图如下所示:

基于 Verilog 的经典数字电路设计(3)选择器相关推荐

  1. 基于 Verilog 的经典数字电路设计(12)串并转换器

    基于 Verilog 的经典数字电路设计(12)串并转换器 版权所有,新芯设计,转载文章,请注来源 引言

  2. 基于 Verilog 的经典数字电路设计(13)并串转换器

    基于 Verilog 的经典数字电路设计(13)并串转换器 版权所有,新芯设计,转载文章,请注来源 引言

  3. 基于 Verilog 的经典数字电路设计(4)编码器

    基于 Verilog 的经典数字电路设计(4)编码器 版权所有,新芯设计,转载文章,请注来源 引言

  4. 基于 Verilog 的经典数字电路设计(2)比较器

    基于 Verilog 的经典数字电路设计(2)比较器 版权所有,新芯设计,转载文章,请注来源 引言

  5. 基于 Verilog 的经典数字电路设计(1)加法器

    摘要:加法器是非常重要的,它不仅是其它复杂算术运算的基础,也是 CPU 中 ALU 的核心部件(全加器).两个二进制数之间的算术逻辑运算例如加减乘除,在数字计算机中都是化为若干步加法操作进行的,因此, ...

  6. 基于Verilog HDL的数字秒表、波形发送器等设计

    基于Verilog HDL的数字秒表设计 一.EDA 二.基于Verilog HDL的数字秒表设计 1. 用Verilog HDL设计一个数字跑表,所需引脚和功能如下所示: 2.代码示例 3. 结果: ...

  7. 基于Verilog HDL的数字时钟

    目录 一.实验目的 二.实验概述 三.实验过程 一.实验目的 1.学习相关的设计方法及原理 2.学习设计方法 二.实验概述 基于Verilog HDL设计一个时钟 三.实验过程 新建一个工程 选择芯片 ...

  8. 基于 FPGA 的高级数字电路设计(7)单口 RAM、同步 FIFO、异步 FIFO 设计

    一.单口 RAM 设计 module BRAM_PORTA( input clka, input ena, input wea, input [3:0] addra, input [15:0] din ...

  9. 基于 FPGA 的高级数字电路设计(5)基于 PE 的脉动阵列设计

            脉动阵列定义:多个相同的处理单元(简称 PE),按一定互联规则组成的网络,称为脉动阵列.脉动阵列可以是一维线形.二维矩形.二维二叉树型.三维长方体形等等.         脉动阵列特点 ...

  10. 基于Verilog使用Quartus设计数字秒表和数字时钟

    目录 一.数字秒表 1.1 新建工程 1.2 添加 Verilog 文件 1.3 添加 VWF 文件 1.4 波形仿真 二.多功能数字钟 三.总结 本文内容:使用 Quartus 基于 Verilog ...

最新文章

  1. chrome下载离线安装包
  2. 为什么一个程序申请的内存有限制_为什么要做自己的小程序商城,做一个要多久?...
  3. Silverlight 3 全系列开发工具发布
  4. innodb和my查询速度_吃透MySQL:MyISAM和InnoDB存储引擎详细介绍
  5. 前端学习(3003):vue+element今日头条管理--关于组件中的@
  6. linux强制移除pdf密码,分享|如何在 Linux 中从一个 PDF 文件中移除密码
  7. (七) UVC框架分析
  8. 震惊:selenium竟然不是自动化测试工具
  9. Bash递归函数计算斐波纳吉(fibonacci)数列
  10. SPASVO推出ALM(覆盖全生命周期的研发过程管理平台)免费在线体验平台
  11. JS五彩连珠小游戏(Canvas绘制)
  12. java实现根据身份证计算年龄的两种方式
  13. tomcat设置一级域名、二级域名访问指定项目
  14. SoftIce,IDA pro强强联合!从SOFTICE中打开IDA Pro输出的map信息文件
  15. 人脸识别图片base64编码,Java实现
  16. 天津发票版本文件服务器端口,天津增值税发票综合服务平台入口
  17. [PyQt5]基本控件24 - 时间日期编辑框QDateTimeEdit
  18. 机器学习—线性回归—正规方程(原理及代码实现)
  19. SONY Xperia SP M35 解锁后重新上锁
  20. 三角形外心坐标c语言,算法提高 12-1三角形   外心坐标

热门文章

  1. 两台计算机如何组成局域网,两台电脑如何建立局域网
  2. vlc播放器linux编译,linux安装vlc视频播放器
  3. unity 视频播放器没有声音
  4. 冻结html表格标题列,HTML表格冻结第一列 | 学步园
  5. 夜店App不应该是SNS,而应该是O2O
  6. 房间类游戏中的房间ID管理
  7. IP代理池Proxy_Pool使用教程(Windows版)
  8. Oracle18c 快速上手
  9. ThinkPad E431 Bluetooth驱动
  10. ARM开发板开机自启