我在verilog中test_bench中遇到这样的问题,记录一下。

timescale 1ns / 1ps

基本知识:1ns=1000ps
含义为:时延单位为1ns,时延精度为1ps。

简而言之,前面是总时间,后面是每个单元的时间间隔。

#的使用

#是延时的意思,跟timescale中的时延单位有关。

`timescale 1ns / 1psmodule top_add5_tb();reg                a=0;reg                b=0;wire           c;top_add5 top_add5_inst(.a(a),.b(b),.c(c));initial begina=0;b=0;#1a=0;b=1;#1a=1;b=1;#1a=1;b=0;#10;$stop;end
endmodule

这里的#1就是时延1ns,跟timescale后面之前的数字单位保持一致。

Verilog中#的使用与理解相关推荐

  1. Verilog中上升沿触发的理解

    在HDLBits中有一道题, 对于8位向量中的每一位,检测输入信号何时从一个时钟周期的0变化到下一个时钟周期的1(正边缘检测).输出位应该在发生0到1转换后的周期,如下示意图所示: 给出的答案为: m ...

  2. Verilog初级教程(15)Verilog中的阻塞与非阻塞语句

    文章目录 前言 正文 阻塞赋值 非阻塞赋值 往期回顾 参考资料以及推荐关注 前言 本文通过仿真的方式,形象的说明阻塞赋值以及非阻塞赋值的区别,希望和其他教程相辅相成,共同辅助理解. 正文 阻塞赋值 阻 ...

  3. Verilog初级教程(12)Verilog中的generate块

    文章目录 前言 正文 generate for generate if generate case 参考资料 本系列博文 前言 verilog中的generate块可以称为生成块,所谓生成,可以理解为 ...

  4. Verilog初级教程(11)Verilog中的initial块

    文章目录 前言 正文 语法格式 initial块是用来干什么的? initial块何时开始又何时结束? 一个模块中允许有多少个initial块? 参考资料 写在最后 前言 仿真中通常会依次执行一组Ve ...

  5. FPGA之道(37)Verilog中的编写注意事项

    文章目录 前言 Verilog中的编写注意事项 大小写敏感 Verilog中的关键字 范围定义的正确使用 不要省略begin与end 注释中斜杠的方向 编译指令中的前导符号 混用阻塞和非阻塞赋值的危害 ...

  6. FPGA之道(35)Verilog中的并行与串行语句

    文章目录 前言 Verilog的并行语句 Verilog连续赋值语句 普通连续赋值语句 条件连续赋值语句 Verilog程序块语句 沿事件 纯组合always 纯时序always 具有同步复位的alw ...

  7. Verilog中关于case语句的优先级

    对于这样的组合逻辑电路 always@(X) case(X) X1: X2: -- endcase 如果分支项包含变量X的所有取值情况,并且互相不重复,那么这样的情况,其实没有必要使用综合指令. (一 ...

  8. Verilog中inout端口的使用方法

    Verilog中inout端口的使用方法 (本文中所有Verilog描述仅为展示inout端口的用法,实际描述则需要更丰富的功能描述) Inout端口的使用 在芯片中为了管脚复用,很多管脚都是双向的, ...

  9. Verilog 中 wire 和 reg 数据类型区别

    两者差别很大,完全不能取消. 在Verilog中,wire永远是wire,就是相当于一条连线,用来连接电路,不能存储数据,无驱动能力,是组合逻辑,只能在assign左侧赋值,不能在always @ 中 ...

最新文章

  1. linux系统版本间的区别是什么?内核又是什么
  2. diamond淘宝框架使用
  3. http、https、密码学基础、GET和POST区别
  4. python中的流程控制
  5. react把表格渲染好ui_react 入坑笔记(五) - 条件渲染和列表渲染
  6. Android--Launcher拖拽事件详解【androidICS4.0--Launcher系列二】
  7. 【鱼眼镜头8】张正友畸变公式;鱼眼的畸变公式需要使用入射角
  8. LSD-SLAM笔记之DepthMap
  9. VMware许可证过期解决方案
  10. 【python基础】第02回 计算机基础2
  11. 数学建模上课(一)推导万有引力定律
  12. 蓝牙相关学习:5.BLE协议属性协议层(ATT)
  13. 冲刺大厂每日算法面试题,动态规划21天——第十四天
  14. oracle11g dataguard
  15. 改善客户服务体验的 5 种方法
  16. python 解决爬虫得到诸如\u65e2 unicode码转中文和字符串转json的问题
  17. pure seq2seq
  18. 年末大盘点 2008十大最受欢迎的装机软件
  19. opencv绘制椭圆
  20. VBA打印机设置(列出所有打印机名字、选用合适的打印机并设计端口号)

热门文章

  1. Description: Web server failed to start. Port 8082 was already in use. Action: Identify and stop
  2. 案例29:气体灭火设施检测与验收案例分析(一)
  3. 帮你梳理正则表达式的基础知识
  4. 使用环信提供的uni-app Demo,快速实现一对一单聊
  5. HTML_给文本加下划线
  6. 吴恩达课后作业学习2-week3-tensorflow learning-1-基本概念
  7. 零售门店管理 会员管理系统 零售会员管理系统 零售会员管理体系 门店管理软件 会员管理系统软件
  8. c语言中类似于大括号的符号,大括号符号 c语言大括号的用法
  9. 异常处理基于注解ExceptionHandler
  10. Mac 退出与卸载 GlobalProtect