设计思路:由8输出变16输出,两个位片的A、B、C相同按之前的连接,用最高位D控制两个片,

D=0时低位片工作,D=1时高位片工作,已知G1=1,G2A=0,G2B=0时位片工作,

故连接使D = G1(高位片) = -G2A(低位片) =G2B(低位片) ;

再固定:G1(低位片) = -G2A(高位片) =G2B(高位片) = 1即可。

图1

仿真实验:但图2没有一个能控制整个4-16译码器的使能端,所以取出低位片里的一个G2与高位片的两个G2相连,构成总开关( 如图3,接地时工作,接Vcc时不工作 )

图2

图3

/*数电 译码器3-8变4-16相关推荐

  1. 华中科技大学数电实验--第6关:16进制可逆计数器设计

    写在前面:做了这个实验部分关卡之后,只想说"设计关卡的人水平真次-(此处省略若干文明用语)",所以决定和大家分享一下自己觉得苦难的关卡的思路,(本人也是水平有限,以下仅)供困惑时参 ...

  2. 数电实验(三)利用3线-8线译码器74LS138和与非门设计一个表决电路

    数电实验(三)利用3线-8线译码器74LS138和与非门设计一个表决电路 要求: 设计一个表决电路, 当控制端M=0时,输入端A.B.C一致同意时,输出F为1,否则输出为0:当控制端M=1时,输入端A ...

  3. 计组(唐第三版)|数电小白看得懂的74138译码器及电路(例4.1的补充讲解)

    目录 前言 讲解问题 1. 快速理解基本的电路符号 a.高低电平 b. 高电平有效和低电平有效的理解及表示 c.基本的门元件 2. 数电小白也能看得懂的74138译码器 c. 74138译码器结构功能 ...

  4. 利用全加器实现7段数码管_显示译码器 || 7段数码管 || 7段LCD || 7448 || 数电

    显示译码器 || 7段数码管 || 7段LCD || 7448 || 数电 1数码显示器件 在介绍显示译码器之前,先介绍一下常用的数码显示器件,可以分为 半导体显示器 液晶显示器 荧光数码管 辉光数码 ...

  5. 专业课课本复习(数电,通原,数信,信号与系统,数据结构)

    W 考完了,希望能上岸,攒一点人品!祝看到此贴的您顺利上岸,可能存在部分错误,欢迎评论指正! 数字电路与逻辑设计 数字逻辑电路的分析和方法,常用集成数字逻辑电路的功能和应用:主要内容包括:逻辑代数基础 ...

  6. 模电数电单片机综合开发系统实验装置QY-MS535K

    一.设备简介 QY-MS535K模电数电单片机综合开发系统实验装置集实验和开发为一体,容51/88/196于一身,增添了数字电路.模拟电子技术实验.这一崭新的系统实现了专业基础课(模拟.数字电路).专 ...

  7. 数电课设之一路交通灯

    开启新的征程~~ 偷懒了很长一段时间,也是因为一直在忙着考试和做课设,然后博客好久没更了⁄(⁄ ⁄•⁄ω⁄•⁄ ⁄)⁄.暑假这段时间开始准备电赛,马上自己就大三了,新的征程即将开启,希望自己可以更加更 ...

  8. 电分、模电、数电总复习之爱课堂题目概念整理

    本文模电数电部分转载自博客园_模电数电爱课堂概念题整理 模电.数电总复习之爱课堂题目概念整理 电分总复习之爱课堂题目概念整理(原创)(不定期更新) 模电总复习之爱课堂题目概念整理 Chapter 1 ...

  9. 最全最走心:数电-数字电子技术 复试/面试知识点大总结 (3-6更新中)

     · 本文原文源自星峰研学电子通信电气考研,并在偏离重点的原文基础上大改特改.原文如下,由自己选择: <数字电子技术>经典面试121题(一) <数字电子技术>经典面试121题( ...

最新文章

  1. 来,一起手撸一个简版 Redis(附源码)
  2. [JavaWeb-HTTP]HTTP概念
  3. 1800万元砸向子公司 点击网络巩固SaaS业务
  4. leetcode190-颠倒二进制位
  5. fatal error: gnu/stubs-n64_hard_2008.h: No such file or directory
  6. 计算机维护费入什么会计科目,金税盘技术维护费计入什么科目_增值税
  7. flutter 截图 截长图 滚动截图 保存到手机
  8. k8s集群安装traefik 2.x (保证成功版)
  9. R语言 面板数据分析 plm包实现(三)——面板数据与面板模型的检验
  10. python做淘宝客_python 做淘宝客程序(2)
  11. 毕业设计So Easy:基于Java Web学生选课系统
  12. 数据库的原理【经典】
  13. springboot美容院会员管理系统毕业设计源码191740
  14. java计算机毕业设计淮安城市开放大学实习实训管理系统源码+mysql数据库+系统+lw文档+部署
  15. 物体检测--HOG特征
  16. 布林通道参数用20还是26_布林通道最佳参数
  17. 压测工具Jmeter下载及使用小解
  18. 计算机学stata,Stata教程(免费)
  19. flask html下拉列表,在Flask框架中,在html做下拉式選單,選完顯示連結MySQL資料庫的資料...
  20. CSS基础知识——栅格系统

热门文章

  1. 毕业设计 单片机的手势识别系统 - 手势识别 单片机 物联网
  2. Ubuntu搭建交叉编译环境(arm、mips、powerpc)
  3. 知识蒸馏论文读书笔记
  4. 商务汇报PPT制作的七堂课-第二课:模板制作
  5. 软件测试学习 之 Python 函数默认参数
  6. 五、资产证券化的加速
  7. intel e1000 网卡 napi分析
  8. gradle引入依赖包/maven引入依赖包
  9. X1 carbon 2015安装win7、win10后触控板驱动安装失败(双指滑动无效、多指操作无效)的另类解决之道
  10. Erlang之父Joe Armstrong确认出席SDCC 2016中国软件开发者大会,并发表主题演讲