#include<stdio.h>
/*">"重定向符号,a>b,a重定向到b*/
int global = 200;
extern _start; //外部申明,寻找真正的入口int main(void)
{int local = 100;//local var/*寻找程序的真正入口*/printf("_start is = 0x%p\n",&_start);//程序的起始地址printf("hello,world!\n");//字符串中间写入需要打印的东西,换行//去除警告,需要把printf包好到库中printf("local = %d\n",local);//两个参数,%d输出十进制/*为了区分十六进制,使用0x*/printf("local = 0x%x\n",local);//两个参数,%d输出十六进制printf("global = %d\n",global);//两个参数,%d输出十进制printf("global = 0x%x\n",global);//两个参数,%x输出十六进制/*输出地址,一般使用“%p”*/printf("&local = 0x%p\n",&local);printf("&global = 0x%p\n",&global);/*main函数的函数名就代表着地址*/printf("main = 0x%p\n",main);return 0;
}

播布客学习视频_C学习笔记_2_打印输出相关推荐

  1. Python学习教程(Python学习视频_Python学习路线):Day04循环结构

    Python学习教程(Python学习视频_Python学习路线):循环结构 循环结构的应用场景 如果在程序中我们需要重复的执行某条或某些指令,例如用程序控制机器人踢足球,如果机器人持球而且还没有进入 ...

  2. 机器学习入门学习视频和书籍(笔记保存)

    我娜姐推荐的资料和我要看的资料记录下: 吴恩达151课时:https://study.163.com/course/courseLearn.htm?courseId=1004570029#/learn ...

  3. 依据Spring Boot学习视频写的笔记

    一.入门 resources时类路径的根路径 1.使用Spring Initializer快速创建Spring Boot项目 默认生成的有主程序,只需要编写自己的应用逻辑就行 主程序已经生成好,只需要 ...

  4. java spring 学习视频_Spring学习视频

    本套餐将包括两个重磅性的课程与一个赠送学习的课程,分别为SpringBoot实战视频教程与RabbitMQ实战教程跟SSM整合开发之poi导入导出Excel.目的是为了让各位小伙伴可以从零基础一步一个 ...

  5. 视频教程-【10天企业定制课】2019年PS软件photoshop/CC应用计划学习视频-UI

    [10天企业定制课]2019年PS软件photoshop/CC应用计划学习视频 教学产品线与企业合作项目负责人,移动互联学院教学总监,从事培训与UI/UED课程研发工作多年,拥有数万学员且平均薪资位居 ...

  6. 2023年华为认证H12-821、H12-831考试指南(包括学习视频和题库)

    一.什么是HCIP-Datacom 英文名:HCIP-Datacom-Advanced Routing & Switching Technology 中文名:培训与认证具备数通领域路由交换高阶 ...

  7. 数字IC后端设计如何快速入门?(内附学习视频)

    虽然2022年IC行业门槛有所提高,但这也抵挡不住同学们对转行IC行业的热情,数字后端设计的发展前景和高薪也在众多岗位中脱颖而出,那么数字IC后端设计如何快速入门?下面IC修真院就带大家来了解一下. ...

  8. PyTorch深度学习-跟着小土堆学习

    目录 学习视频链接 一些问题 P4:Python/PyTorch学习中两大法宝函数-dir().help() P5:PyCharm及Jupyter使用及对比 P6:PyTorch加载数据初认识 P7: ...

  9. python基于水色图像的水质评价_零基础学习Python的学习路线及教程

    什么是Python? 在过去的2017年里,Python开发者在全球快速增长,国内小伙伴学习 Python 的热情一路高涨.同时,PYPL发布7月编程语言指数榜,Python 在今年5月首次超越 Ja ...

最新文章

  1. IOS仿微信键盘快捷工具栏
  2. 解决打开虚拟机 VMware Workstation 报错无法改变虚拟机的电源状态 Operation inconsistent with current state问题
  3. Django01: 安装/基础命令/设置笔记
  4. 勾股定理的面积证明法,形象又好记!
  5. jax-ws和jax-rs_JAX-RS和OpenAPI对Hypermedia API的支持:任重而道远
  6. android 项目部署,Android发布项目到JCenter
  7. android网络盒子改,有什么办法可以把淘汰下来的安卓手机改造成电视盒子用?...
  8. php 静态 成员属性,[已解决]php中静态成员方法和静态成员变量是不是不支持多态?...
  9. 汉诺塔五层C语言程序,汉诺塔的c语言程序
  10. IE9 和 IE11 安装及相关补丁
  11. Windows沙拉:开机时自动打开NumLock键背后的故事
  12. Docker容器学习笔记(看了狂神视频)
  13. STM32单片机串口发送ASCII码
  14. pmp错题集2(强化二)
  15. 电脑右下角小喇叭出现红色叉号
  16. Vue + Echart 绘制地图区域标记
  17. 在Sever 2012中应用iSCSI目标程序
  18. Java 中的get set方法快捷键和含义
  19. excel快速把公式应用到一整列
  20. 世界上最美丽的英文--与你来分享!

热门文章

  1. 如何在jsp里面写java代码
  2. 4. PyQt5的主要模块
  3. 录制动画时AnimationClip.SetCurve的relativePath问题
  4. c语言remainder函数,【总结】C/C++取余操作:%、fmod()、remainder()的区别和联系
  5. 华科校园网内ubuntu12.04用锐捷客户端联网流程详解
  6. 2021外卖cps小程序项目|外卖红包cps带好友返利佣金分销系统程序|饿了么美团联盟源码
  7. 几种主要的神经网络----全连接神经网络、前馈神经网络、卷积神经网络、循环神经网络
  8. 计算机关闭这些程序,电脑中哪些进程可以关闭? 节约资源
  9. Windows 7驱动开发系列(四)--WDM模型介绍
  10. 供电公司业扩工程项目管理(原创)