接触了很多想要入门FPGA或者入了门还在大门口转圈的兄弟姐妹,对如何一步一步的登堂入室还很迷茫,苦于没人给划条道,走错路。

在这里我想基于多年的经验,大致总结下FPGA入门的顺序,也是成为一名合格FPGA工程师的必经之路,希望给后浪一些指引,不要把自己给拍在沙滩上了。

1、找本数字电路的书看下,了解逻辑运算的规则,与或非、同或异或等,了解什么是触发器什么是锁存器。

2、深入了解verilog或者VHDL代码,建议以FPGA为主业的后浪们,主修verilog,据我了解大部分公司用的都是verilog,但是工作过程中难免要接手或者维护别人的代码,还是要能看得懂VHDL,等你真正精通verilog之后,除了语法不通,应用的原理大差不差,照猫画虎都能把VHDL代码写出来。

在学习verilog或者VHDL代码的时候,一定要注意什么语句可以综合,什么语句只能用作仿真,什么是阻塞和非阻塞,这个都不懂的话,写出来的代码只能是花瓶。

关键的一点,还要搞懂什么是时序逻辑,什么是组合逻辑,什么时候用时序逻辑,什么时候用组合逻辑也是很讲究的。

3、搞懂RAM、ROM、FIFO的原理和接口时序,这是你今后经常用到的。

4、选择一款FPGA芯片作为你的小白鼠,不知道选什么的话,上网看哪款芯片开发板用的多,就选哪款。找本书了解下FPGA内部各种资源的用途,然后上FPGA厂商的的官网了解下你选择型号FPGA内部资源的情况和使用说明。比如BANK的概念,block ram的结构,LUT的结构,内嵌的DSP结构等等。

未完,待续...

FPGA入门的必经之路(一)相关推荐

  1. (05)FPGA入门条件

    (05)FPGA入门条件 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA入门条件 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1)FPGA入 ...

  2. FPGA入门基础介绍

    1.1 FPGA入门基础介绍 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA入门基础介绍: 5)结束语. 1.1.2 本节引言 "不积跬步,无以至千里 ...

  3. FPGA入门-腾讯云布道师团队-专题视频课程

    FPGA入门-203人已学习 课程介绍         本课程向大家介绍FPGA的基本概念和功能,并结合实际操作讲解实现原理和操作步骤,讲师也会带着大家演示一个实验. 课程收益     FPGA入门 ...

  4. FPGA入门学习记录(1)----自动售货机(VM_FSM)

    综述 在写一个自动售货机状态机并仿真,上板的过程中遇到了各种问题.在解决这些零碎的问题后,在防止自己忘记这些经验以及用电子介质取代纸质记录的目的下,写下此文. 这些零碎的经验主要分VeilogHDl语 ...

  5. FPGA入门到实战-学习笔记

    ref:腾讯教育 FPGA入门到实战-录播课-上海V3学院 https://ke.qq.com/course/66019 老师:尤恺元 第1课 掌握Verilog HDL的高级编码知识 授课日期: 老 ...

  6. FPGA入门实验-基于状态机实现串口回环收发

    任务目标 基于状态机实现串口回环收发.最近生产实习的FPGA培训课程内容,还是挺简单的.具体原理其他文章应该都烂大街了,重点是状态机的写法,还是很少博主写,没怎么看到,基本上都是时序机写的模块功能. ...

  7. 弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩

    弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩 IP核:知识产权核,指某一方提供的.形式为逻辑单元的可重用模块.IP核通常已经通过了设计验证,设计人员以IP核为基础进行设计,可以 ...

  8. Lattice系列FPGA入门相关0(Lattice与Altera、Xilinx对比及入门)

    需求说明:Lattice系统FPGA入门 内容       :Lattice与Altera.Xilinx对比 来自       :时间的诗 1.Lattice与Altera.Xilinx对比 latt ...

  9. Lattice系列FPGA入门相关1(Lattice系列FPGA简介)

    需求说明:Lattice系统FPGA入门 内容       :Lattice系列FPGA简介 来自       :时间的诗 1.为什么Lattice在进入FPGA市场的第一年就能取得这么好的成绩? 我 ...

  10. 【FPGA】初探FPGA —— 入门过程的分享

    #悬崖上的花,越芬芳越无常~ 终于又抽出时间搞这个FPGA入门系列了.这个也是我之前在B站做的视频,关于FPGA入门的过程经验的一个总结,去帮助大家帮助了解FPGA的入门. 南信大电子工程师协会慕课计 ...

最新文章

  1. [PHP] PHP 格式化日期 format the datetime in PHP
  2. 机器学习笔记——皮尔逊相关系数
  3. 老粮商谋定国际农民丰收节贸易会·万祥军:巨头跨国不上市
  4. SAP中添加自定义菜单
  5. Python基础day07【文件读写、文件夹操作、面向对象(类、对象)】
  6. CentOS 7 安装 Greenplum6 (附 dotNET Core 示例)
  7. mongoDB 特别指令用法
  8. DolphinDB配置
  9. ant配置Cesium,纯javascript3d地图类库
  10. java线程入门_java多线程快速入门(一)
  11. bgsave配置与工作流程
  12. Spring的IOC和AOP原理及其使用
  13. 大牛书单 | C++ 好书推荐
  14. Redis是什么?怎么用?
  15. poi实现Excel文件写入 支持后缀XLSX格式
  16. mysql crud,mysql的CRUD操作实现
  17. pythonturtle画图库使用技巧_Python画图库turtle使用方法简介
  18. 36-sparkstreaming
  19. MDCC 2014移动开发者大会 参展商活动的小礼品
  20. Directx11 Effects

热门文章

  1. ROS教程(一):ROS安装教程(详细图文)
  2. 数学建模竞赛代码及论文降重方法
  3. 论文中三线式表格的快速插入(word)
  4. excel使用教程_Office办公软件(word、ppt、excel)视频教程(更新)
  5. 入侵排查篇---勒索病毒自救指南
  6. 小米手机MIUI刷机教程(小米6等)
  7. web前端开发和java后端_web前端开发和后端开发的区别是什么
  8. 快来试试这几个照片拼图软件,效果很不错
  9. mysql中添加外键语句_数据库语句怎么加外键
  10. 【Java从入门到天黑|01】SE入门之Java的前生今世