Vsim(ModelSim)生成VCD波形文件(verilog)

两种方法

方法一:

调用ModelSim自己的命令生成,仿真脚本中加入如下一句即可

vcd file mytb.vcd

方法二:

调用verilog系统函数生成,直接在testbench中放入如下语句运行仿真即可产生tb.vcd

initial begin$fdumpfile("tb.vcd");$dumpvars;end

代码实例

 1 #create work library2 vlib work3 vmap work work4 5 #compile6 vlog mydesign.v    #编译你的verilog代码7 vlog my_tb.v        #编译testbench8 9 #simulate
10 vsim -voptargs="+acc" +notimingchecks -t 1ps my_tb
11
12 vcd file mytb.vcd   #生成VCD文件
13
14 run 100us
15
16 q

sim.do

运行仿真

vsim -c -do sim.do

参考文献

[1]A Short Intro to ModelSim Verilog Simulator

转载于:https://www.cnblogs.com/OneFri/p/5947705.html

vsim生成VCD波形文件(verilog)相关推荐

  1. (61)Verilog生成vcd波形文件

    (61)Verilog生成vcd波形文件 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog生成vcd波形文件 5)结语 1.2 FPGA简介 FPGA(Fi ...

  2. verilator仿真生成.vcd波形文件 示例

    1.模块准备 首先,我们先写好一个模块,如our_OnOff.v: module our_OnOff(input a,input b,output f );assign f = a ^ b; endm ...

  3. modelsim打开和生成VCD文件的方法

    使用ila抓取的波形在vivado中不是很方便查看,想到使用modelsim进行查看.modelsim本身不能查看VCD文件,需要将VCD文件转换为wlf文件. vivado产生VCD文件 使用ila ...

  4. 波形文件(wlf,vcd,fsdb,shm,vpd)的区别,及如何生成

    在verilog和systemverilog等逻辑仿真的过程中,最关心的就是最后生成的波形是如何,我们才能根据波形去具体分析.但是往往会根据各个公司和各个平台工具的不同,会产生不同的波形文件,那么不同 ...

  5. 数字IC设计各种仿真波形文件

    一.仿真波形文件介绍 1. Wlf文件:WLF波形日志文件,是modelsim的专用文件. 这个wlf文件只能是由modelsim来生成,也只能通过modelsim来显示. 在用modelsim做仿真 ...

  6. 搭建 Verilog 仿真环境

    搭建 Verilog 仿真环境 对于 Verilog 的初学者,有一个仿真环境是必要的.这就好比是刚开始接触 C 语言,连 C 语言的开发环境都没有,怎么学习 C 语言呢,难道靠大脑模拟运行吗? 本文 ...

  7. 【数集项目之 MCDF】(一) 控制寄存器 control_register

    写在前面   本项目为MCDF数据整形器设计,所有的参考代码见我的github https://github.com/SuperiorLQF/verilog_ALL/tree/master/MCDF ...

  8. 我该怎样度此生?——认真并干净地生活

    大海之雄伟,在于它有汹涌的波涛. 人生之丰富多姿,由于它有坎坷曲折的道路. 人活着是应该有自己的一片精神天地的.正因为有了这一片自己的精神天地,在遇到人生坎坷旅途时才能熬过去. 过来人都知道,有这样的 ...

  9. PrimeTime PX Tool 测功耗学习笔记(二)

    测功耗流程 所需文件: 逻辑综合后的Verilog文件  (dc综合之后的网表文件.vg) 静态时序分析时用到的约束文件( .sdc ) RTL的仿真波形文件(.vcd) 有功耗信息的库文件(.db) ...

最新文章

  1. 移动App开发趋势及未来的展望
  2. UA MATH567 高维统计II 随机向量11 kernel的构造 用内积替换反三角函数
  3. 机房精密空调压缩机故障处理实例
  4. 快速掌握TensorFlow中张量运算的广播机制
  5. Delphi下物理删除dBase数据库的*.dbf文件
  6. 数据结构之并查集:UF-Tree优化并查集——19
  7. 基于FPGA实现PCIE IP功能仿真
  8. HDOJ--2094--产生冠军
  9. CRM中多张关联表join的报表
  10. 7.程序员的自我修养---动态链接
  11. 初识BoundSQL
  12. delphi 获取当前路径
  13. 计算机开机界面图片怎么修改,电脑win7系统怎么修改开机画面的方法
  14. Mac屏幕录制与视频压缩
  15. USG6310恢复管理员密码
  16. 249PHP等于多少钱,一百万新台币等于多少人民币
  17. 【报告分享】2021年快手母婴行业数据价值报告-磁力引擎(附下载)
  18. 面试题65:什么是虚拟dom
  19. 开始读Python Crash Course读书笔记
  20. 【服务器数据恢复】服务器硬盘黄灯的数据恢复案例分享

热门文章

  1. 阿里云centos 6.3 安装宝塔nginx面板无法远程mysql数据库和无法FTP连接问题解决方法
  2. python第三十课--异常(raise关键字)
  3. PHP-Socket服务端客户端发送接收通信实例详解
  4. 2015-07-22 JQuery 第二课(JQ元素获取,添加,删除,判断,遍历,取值,样式设置,改变对象,切换)...
  5. java集合类——Stack栈类与Queue队列
  6. SqlParameter
  7. 优化C#程序的48种方法
  8. VS 打包升成可自动升级的安装包
  9. 在两个页面之间互相写其控件内的值
  10. 微信朋友圈:应对春节千亿访问量背后的故事