交通灯设计:

1、设计原理
(1)对项目进行模块划分、对各模块的功能及其端口进行说明。
①分频器部分
降低实验板固有频率,分出频率用来计数和数码管动态扫描。
②状态机部分
0,1,2,3四个基础状态以及进一步完善后的检修和行人通行状态。
③LED灯与数码管显示部分
LED灯模拟东西南北方向交通灯的状况,数码管显示当前状态剩余时间。

2、设计源文件
①主模块

`timescale 1ns / 1ps
module jiaotong(input clk_100MHZ,input rst,input stby,//检修output [7:0]dsmg,  //数码管段选output reg [1:0]wsmg,  //数码管位选output reg [5:0]led     //led灯:J3 J2 K2(东西红黄绿),K1 H6 H5(南北红黄绿));
wire clk_1Hz;
wire clk_400Hz;
fenpin u1(clk_100MHZ,32'd50000000,clk_1Hz);
fenpin u2(clk_100MHZ,32'd125000,clk_400Hz);integer second;
integer shijian;  //秒数计数上限
reg [2:0]state;   //状态always @(state)   //状态定义begincase (state)0:begin led=6'b001100;shijian=40; end    //state=0:001100,东西绿灯亮,南北红灯亮,40s1:begin led=6'b010100;shijian=5; end     //state=1:010100,东西黄灯亮,南北红灯亮, 5s2:begin led=6'b100001;shijian=40; end3:begin led=6'b100010;shijian=5; end4:begin led=6'b010010;end                   //state=4:010010,东西黄灯亮,南北黄灯亮5:begin led=6'b000000;shijian=3;end     //state=5:000000,灯灭,延时3s   endcase endalways @(posedge clk_1Hz )beginbeginif( rst == 1)  beginsecond = 0; state = 0;endelse if (rst == 0 && stby == 1)   state = 4;  else if (rst == 0 && stby==0 && state == 4 ) second = 0; end  if (state != 4) second = second + 1;if (shijian == second) second = 0;if (second==0)begincase (state)0,1,2 : state <= state +1 ;  //状态转换0-1-2-3-4-5-03 : state <= 0;4 : state <= 5;5 : state <= 0;endcaseendend
reg [2:0]wei;
reg [3:0]num;
shumaguang u3(num,dsmg);
//数码管显示always @(posedge clk_400Hz)beginif(!wei)    wsmg=8'b00000001;else   wsmg = wsmg << 1;case(wei)0:num=(shijian-second)%10;  //数码管个位1:num=(shijian-second)/10;  //数码管十位2:num=10;3:num=10;4:num=10;5:num=10;6:num=10;7:num=10;endcase     wei = wei + 1'b1;             end
endmodule

②分频器模块

module fenpin(
input clk_100MHZ,
input[31:0] div,
output reg clk_Hz);
integer clk_cnt; //计数器的值
always @(posedge clk_100MHZ)beginif(clk_cnt==div)//判断计数器的值是否计数到div   begin clk_cnt <= 1'b0; clk_Hz <= ~clk_Hz;end  //如果计数到div,计数器的值清零,同时clk_Hz的电平翻转一次,即分频后频率100MHz/div/2elseclk_cnt <= clk_cnt + 1'b1;//计数没有到div,计数值加1   end
endmodule

③数码管模块

module shumaguang(input  [3:0]num,output reg [7:0]dsmg);always @(*)case (num)0:dsmg <= 8'b00111111;1:dsmg <= 8'b00000110;2:dsmg <= 8'b01011011;3:dsmg <= 8'b01001111;4:dsmg <= 8'b01100110;5:dsmg <= 8'b01101101;6:dsmg <= 8'b01111101;7:dsmg <= 8'b00000111;8:dsmg <= 8'b01111111;9:dsmg <= 8'b01101111;10:dsmg <= 0;endcase
endmodule

3、仿真测试文件

`timescale 1ns / 1ps
module sim_jiaotongdeng(  );
reg clk;
reg rst;
reg stby;//检修
wire[5:0]led;
jiaotong a1(.clk_100MHZ(clk),.rst(rst),.stby(stby),.led(led));
parameter PERIOD = 10;   //固定计数周期
always begin
clk = 0;
#(PERIOD/2) clk = 1;
#(PERIOD/2);
end
initial begin clk = 0; rst = 1;stby = 0;#100;rst = 0;#100; //延时 stby = 1;
end
endmodule

仿真结果

实验板部分结果


【FPGA】EDA技术综合设计 交通灯设计相关推荐

  1. 微型计算机接口与技术的交通灯,微机原理与接口技术课程设计——交通灯设计.doc...

    微机原理与接口技术课程设计--交通灯设计.doc 1 任务及要求 1.1设计任务 交通信号灯的控制: (1)通过8255A并口来控制LED发光二极管的亮灭. (2)A口控制红灯,B口控制黄灯,C口控制 ...

  2. 微型计算机接口与技术的交通灯,微机原理及接口技术课程设计交通灯

    微机原理及接口技术课程设计交通灯 序言 十字道口的红绿灯是交通法规的无声命令,是司机和行人的行为准则.十字道 口的交通红绿灯控制是保证交通安全和道路畅通的关键.当前,国内大多数城市正在 采用" ...

  3. 基于单片机的交通灯设计

    摘要 本系统由单片机系统.键盘.LED 显示.交通灯演示系统组成.系统包括人行道.左转.右转.以及基本的交通灯的功能.系统除基本交通灯功能外,还具有倒计时.时间设置.紧急情况处理.分时段调整信号灯的点 ...

  4. 基于Verilog用状态机设计交通灯控制器

    1.题目:用状态机设计交通灯控制器,设计要求:A路和B路都有红.黄.绿三种灯,持续时间为红灯45s.黄灯5s.绿灯40s.A路和B路交通灯的状态转移为 (1)A红,B绿(持续时间40s): (2)A红 ...

  5. 基于红外技术的交通灯设计

    目录 基于红外技术的交通灯设计 1 摘 要 1 Abstract 2 1 引言 1 1.1基于红外技术的交通灯的选题背景 1 1.2基于红外技术的交通灯选题的现实意义 1 1.3国内外研究现状及其发展 ...

  6. ewb交通灯报告和文件_基于EWB的交通灯设计

    本文着眼于目前普遍应用在城市道路上的交通灯控制系统,从课程设计的题目要求出发,设计了一个十字路口主次街道的交通灯控制电路. 首先进行交通灯状态变换的分析和交通灯总体框架的设计,接着提出了2种电路设计方 ...

  7. 8255,8253微机原理课程十字路口交通灯设计(带交通管制)

    8255,8253微机原理课程十字路口交通灯设计(带交通管制) 前绪 CPU 采 用 8088 设 计 , 采 用 其 最 大 工 作 模 式 . 通 过 245,373,8288 等设计形成地址总线 ...

  8. 计算机控制系统课程设计交通灯,太原理工大学数字逻辑课设(交通灯)

    <太原理工大学数字逻辑课设(交通灯)>由会员分享,可在线阅读,更多相关<太原理工大学数字逻辑课设(交通灯)(25页珍藏版)>请在人人文库网上搜索. 1.太原理工大学计算机科学与 ...

  9. 通行时间可调的两路口交通灯设计实验(基于Multisim仿真)

    一.设计要求简述: 东西方向的红.黄.绿灯和南北方向的红.黄.绿灯按照正常的工作时序进行工作,黄灯亮时应为闪烁状态. 通行时间和黄灯闪亮时间可以在0-99秒内任意设定. 十字路口要有数字显示作为时间提 ...

最新文章

  1. golang json 获取所有key_Golang —— JSON 大法
  2. Oracle报错TNS-12532: TNS:invalid argument
  3. InstallShield高级应用--获取机机所有ORACLE服务列表
  4. 【Pytorch神经网络理论篇】 13 深层卷积神经网络介绍+池化操作+深层卷积神经网络实战
  5. java 声明抽象方法_java – 类必须声明为abstract或实现抽象方法错误
  6. 【TensorFlow】TensorFlow函数精讲之tf.nn.max_pool()和tf.nn.avg_pool()
  7. 用python写用手机发邮件_如何用python写发邮件?
  8. Shell脚本中使用awk进行空格分词
  9. 常见代码英文词汇缩写
  10. Visual C++ 微软常用运行库合集
  11. 操作系统试验-Nachos系统调用实现
  12. 文字转换为音频mp3的方法
  13. 5.PB中调用存储过程
  14. 【NOIP2016普及组复赛模拟赛】买装备(equipment)
  15. 第三单元JML地铁系统博客 By Wazaki
  16. CFPS数据处理:少儿代答库与成人库匹配
  17. 马斯克的火箭上天了,SpaceX开源项目也登上了热榜!
  18. C/C++ 引用作为函数的返回值
  19. 使用自定义注解实现接口的参数校验
  20. 对8086的存储器扩展

热门文章

  1. TA 认识 unity shader最基本的代码结构与书写01
  2. microsoft store 安装包_Microsoft 办公软件免费了?
  3. 小米2020校招Android笔试题
  4. My $650,100 Lunch with Warren Buffett
  5. qq显示下线通知什么意思_qq下线通知是怎么回事
  6. 相控阵天气雷达工作原理
  7. Kibana聚合操作
  8. java开发微信公众号:微信公众号对接
  9. 音频质量评价体系那些事
  10. 计算机存储的发展(块存储,文件存储,对象存储)