模块文件代码:

module decoder3_8(a,b,c,dout);
input a,b,c;
output [7:0] dout;
reg [7:0] dout;
always@(a,b,c)
begin
case( {a,b,c} )
3'b000:    dout=8'b0000_0001;
3'b001:    dout=8'b0000_0010;
3'b010:    dout=8'b0000_0100;
3'b011:    dout=8'b0000_1000;
3'b100:    dout=8'b0001_0000;
3'b101:    dout=8'b0010_0000;
3'b110:    dout=8'b0100_0000;
3'b111:    dout=8'b1000_0000;
endcase
end
endmodule 

testbench代码:

`timescale 1ns/1ns
module decoder3_8_tb;
reg signal_a,signal_b,signal_c;
wire [7:0] dout;
decoder3_8 u1
(
.a(signal_a),
.b(signal_b),
.c(signal_c),
.dout(dout)
);
initial
begin
signal_a=0;signal_b=0;signal_c=0;
#100;
signal_a=0;signal_b=0;signal_c=1;
#100;
signal_a=0;signal_b=1;signal_c=0;
#100;
signal_a=0;signal_b=1;signal_c=1;
#100;
signal_a=1;signal_b=0;signal_c=0;
#100;
signal_a=1;signal_b=0;signal_c=1;
#100;
signal_a=1;signal_b=1;signal_c=0;
#100;
signal_a=1;signal_b=1;signal_c=1;
#200;
$stop;
end
endmodule 

RTL仿真波形:

FPGA 3-8译码器相关推荐

  1. (95)FPGA二四译码器设计,面试必问(十九)(第19天)

    (95)FPGA二四译码器设计,面试必问(十九)(第19天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA二四译码器设计,面试必问(十九)(第19天) ...

  2. fpga与三八译码器(BASYS3 VIVADO18)

    参考这篇文章 之前写过如何创建项目,看这里,现在稍作补充 在SYNTHESIS下打开Schematic 就和?了 decoder.v module decoder(input [2:0] sw,out ...

  3. LDPC译码器的FPGA实现

    概述 本文将介绍LDPC译码器的FPGA实现,译码器设计对应CCSDS131x1o1s文档中提到的适用于深空通信任务的LDPC编码.本文档将简述Verilog代码的基本结构和信号说明. 简介 本文中F ...

  4. Verilog实现拨码开关控制数码管显示——FPGA

    通过一个简单的3-8译码器的设计,掌握组合逻辑电路的设计方法. 掌握拨码开关和数码管的使用. 掌握组合逻辑电路的静态测试方法. 原理及内容: 原理: 根据38译码原理将5bit的编码后四位解码为0~f ...

  5. 【 FPGA 】抢占式优先级译码器电路

    今天看用选择器实现总线设计的程序中([ FPGA ]总线实现形式之选择器),选择器控制信号部分用到了抢占式优先级译码器,这里单独把这个抢先式优先级译码器抽出来讲讲看: 高位优先,下面是Verilog ...

  6. 记录使用Spartan-6 FPGA进行一次3-8译码器实验

    之前仔细的记录过一次PWM蜂鸣器的实验,见博文:全过程实现一个最简单的FPGA项目之PWM蜂鸣器控制,这里就不再仔细的写下全过程了,因为都是一样的过程,只记录一下做这个小实验的思路即可. 3-8译码器 ...

  7. turbo译码器 matlab,短帧Turbo译码器的FPGA实现

    Turbo码虽然具有优异的译码性能,但是由于其译码复杂度高,译码延时大等问题,严重制约了Turbo码在高速通信系统中的应用.因此,如何设计一个简单有效的译码器是目前Turbo码实用化研究的重点.本文主 ...

  8. (71)FPGA面试题-使用不同的代码实现2-4译码器?使用case语句

    1.1 FPGA面试题-使用不同的代码实现2-4译码器?使用case语句 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-使用不同的代码实现2-4译码器? ...

  9. (72)FPGA面试题-使用不同的代码实现2-4译码器?使用if语句

    1.1 FPGA面试题-使用不同的代码实现2-4译码器?使用if语句 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FFPGA面试题-使用不同的代码实现2-4译码器?使 ...

  10. (27)FPGA译码器设计(第6天)

    (27)FPGA译码器设计(第6天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA译码器设计(第6天) 5)技术交流 6)参考资料 2 FPGA初级课程 ...

最新文章

  1. 2022-2028年中国电商物流行业投资分析及前景预测报告
  2. Java 学习笔记 ------第二章 从JDK到IDE
  3. java 路径中文问题_Java 路径问题解决方案汇集
  4. SAP Analytics Cloud里取出SAP Cloud for Customer的Reports列表
  5. 区别 (function($){...})(jQuery)、$(function(){ })和$.fn
  6. 平稳序列的预测和拟合之模型检验
  7. 软件设计师习题笔记-重点习题三
  8. nginx 平滑升级
  9. nodefs模块的使用demo
  10. EasyUI组件使用
  11. Sql语句对数据库和表的简单操作
  12. 学python对excel有用吗_程序员必修课:为什么非要用Python做数据分析?Excel不好吗?...
  13. 小学生数据分析《西游记》发现大BUG
  14. 微信小程序 — 公立医院申请
  15. 局域网互传文件工具_如何在 iOS、Android、macOS、Windows 之间快速文件互传?
  16. 一年级下册计算机教学计划,一年级科学下册教学计划
  17. 阿里云一级域名跳转https的二级域名配置说明(主域名跳转子域名, 不带www跳带www)
  18. php 正则 标点符号,js正则匹配中文标点符号
  19. java生成数字字母组合_java生成随机数字和字母组合
  20. 员工管理和激励的十个经典故事

热门文章

  1. 公司项目NODEJS实践0.1[ ubuntu,nodejs,nginx...]
  2. 《专家系统破解篇 六、IL代码破解--配套乱说》之 FeatherskyExpertSystem
  3. CIO:IT优化刻不容缓
  4. 一起谈.NET技术,在ASP.NET中自动合并小图片并使用CSS Sprite显示出来
  5. Spring.net 控制反转(IoC)容器_(收集)
  6. [SQLite]www.sqlite.org官网.NET最新版本所有内容下载
  7. js高级学习笔记(b站尚硅谷)-10-instanceof
  8. Mac没有winnt格式_Mac视频格式转换工具-H265 Converter Pro
  9. 洪雅中学成绩2021高考查询,洪雅中学2021年排名
  10. HTTP缓存机制在iOS中的应用和体现