前言

最近在调试一个USB传输图像的项目,开始图像传输很稳定,中途客户说需要新增加一个可调分辨率的功能,由于程序中我都是用的参数形式赋值,理论上在程序中只用修改分辨率的参数即可,但是将参数修改完以后,发现出不了图像,头疼啊。。。分析了好久,都没找到问题,仿真看时序也是没有问题的。那会是什么问题呢?突然想到,会不会是综合后的时序有问题呢(建立保持时间不够),我在网上找了很多关于ALTERA时序约束的文章,读完后感觉还是一头雾水,后来想到可以咨询一下我的FPGA供应商。他给我了一些建议,最终在他的帮助下,加了时钟约束语句,一切OK。完美啊!又学到一招,哇哈哈,为了防止大家遇到和我一样的问题,特意将方法分享出来,免得各们走弯路,如果有大神能多分享一些关于时序约束的方法,在下感激不尽,技术无止尽!

方法

在工程文件中找到.qsf文件,并打开。然后添加约束语句,如下图所示:

该工程需要约束的时钟信号为:cmos_ext_pclk和local_clk_24m_p24。所以,只需要把该工程的时钟信号替换成你需要约束的时钟信号即可。然后再编译综合

Altera时序约束相关推荐

  1. FPGA时序约束和timequest timing analyzer

    FPGA时序约束和timequest timing analyzer FPGA时序约束 时钟约束 #************************************************** ...

  2. TIMING_06 VIVADO环境下的时序约束 之 输入延迟约束

    由于该系列文章阅读有顺序性,所以请跳转至该系列文章第一篇从头开始阅读,并按照文章末尾指示按顺序阅读,否则会云里雾里,传送门在此:  https://blog.csdn.net/qq_33486907/ ...

  3. TIMING_02 浅谈时序约束与时序分析

    由于该系列文章阅读有顺序性,所以请跳转至该系列文章第一篇从头开始阅读,并按照文章末尾指示按顺序阅读,否则会云里雾里,传送门在此:  https://blog.csdn.net/qq_33486907/ ...

  4. 如何在FPGA设计环境中加时序约束 SDC (Z)

    如何在FPGA设计环境中加时序约束     在给FPGA做逻辑综合和布局布线时,需要在工具中设定时序的约束.通常,在FPGA设计工具中都FPGA中包含有4种路径:从输入端口到寄存器,从寄存器到寄存器, ...

  5. FPGA时序约束、时序分析(一)

    很多人询问关于约束.时序分析的问题,比如:如何设置setup,hold时间?如何使用全局时钟和第二全局时钟(长线资源)?如何进行分组约束?如何约束某部分组合逻辑?如何通过约束保证异步时钟域之间的数据交 ...

  6. FPGA时序约束(一)基本概念入门及简单语法

    文章目录 一.建立时间和保持时间是什么? 二.时序分析分类 三.时钟约束方法 3.1 时钟约束 3.2 输入延时约束 3.3输出延时约束 3.4时序例外 四.时序约束语法补充 文章目前大部分参考明德扬 ...

  7. DAC7512时序约束(转载)

    三,DAC7512控制器     DAC7512是一个具有三线串行接口的DAC.我们基于FPGA用Verilog语言实现了一个简单的DAC7512的控制器.下面是控制器的结构图:       DAC7 ...

  8. FPGA的设计艺术(6)STA实战之SmartTime时序约束及分析示例(I)

    前言 FPGA进行时序分析通常使用厂家的编译工具,进行时序分析,但是万变不离其宗,时序分析的知识通常都是通用的,原理都是一致的.下面根据SmartTime的资料来看下时序分析的实际操作是如何的,这在其 ...

  9. FPGA之道(80)静态时序分析(六)常用时序约束介绍(基于ISE的UCF文件语法)

    文章目录 前言 常用时序约束介绍 时序环境约束 分组时序约束 TNM TNM_NET TIMEGRP 常用时序约束 周期约束 输入时钟周期约束 内部时钟周期约束 关联时钟周期约束 差分时钟周期约束 输 ...

最新文章

  1. Spring学习系列(二) 自动化装配Bean
  2. 计算机网络应用PS,计算机一级Photoshop应用试题及答案
  3. 全球顶级设计师云集天猫双11 超1000款时尚大牌新品首发
  4. 深度学习机器学习大牛
  5. linux中的SGI(核间中断)IPI_RESCHEDULE详解
  6. 只显示隐藏文件 显示指定目录下的目录
  7. STM32F7xx —— 内部flash
  8. 湖南计算机股份有限公司hcc-pr2e,PC台式机电脑如何连接使用HCC PR2E/K10打印机
  9. Selenium 凭什么成为 Web 自动化测试的首选?(内附源码)
  10. 华为鸿蒙os2.0更新,鸿蒙OS2.0更新了吗?更新方法、更新包大小、使用体验等都来了...
  11. 针对笔记本电源已接通未充电的提示怎么办
  12. powercfg_在Windows 7中使用PowerCfg评估电源效率
  13. matlab数组中含有逻辑变量,MATLAB逻辑数组
  14. win7虚拟机_win7系统如何安装virtual pc虚拟机 安装virtual pc虚拟机方法【介绍】
  15. 网易云音乐上关于摇滚歌单的各种排行榜
  16. 会员管理有哪些功能呢?
  17. 物联网IoT应用技术有哪些?
  18. Java的基础语法(二)
  19. 【乐逍遥网站设计】如何通过有效的网站设计提高电子商务的销售量
  20. VSCODE connet remote server contanier

热门文章

  1. Spoon系列-概要
  2. 消息中间件 一 之 AMQP译文(下)
  3. oa服务器优化,如何优化OA以提高访问速度
  4. C语言中access函数的使用
  5. 6-8 使用函数求最大公约数 (10 分)
  6. 重磅!李沐「动手学深度学习」中文课程笔记来了!
  7. Linux常用命令,Linux常用命令整理
  8. [NewStarCTF] Word-For-You
  9. python 搜索 PDF文件 内容
  10. Windows API一日一练 29 SelectObject和DeleteObject函数