1. 在相同技术指标下,IIR滤波器由于存在着输出对输入的反馈,因而可用比FIR滤波器较少的阶数来满足指标的要求,这样一来所用的存储单元少,运算次数少,较为经济。例如用频率抽样法设计阻带衰减为-20db的FIR滤波器,其阶数要33阶才能达到,而如果用双线性变换法设计只需4-5阶的切贝雪夫滤波器,即可达到指标要求,所以FIR滤波器的阶数要高5-10倍左右。

2. FIR滤波器可得到严格的线性相位,而IIR滤波器则做不到这一点,IIR滤波器选择性愈好,则相位的非线性愈严重,困而,如果IIR滤波器要得到线性相位,又要满足幅度滤波的技术要求,必须加全通网络进行相位校正,这同样会大大增加滤波器的阶数,从这一点上看,FIR滤波器又优于IIR滤波器。

3. FIR滤波器主要采用非递归结构,因而从理论上以及时性从实际的有限精度的运算中,都是稳定的。有限精度运算误差也较小,IIR滤波器必须采用递归的结构,极点必须在Z平面单位圆内,才能稳定,这种结构,运算中的四舍五入处理,有时会引起寄生振荡。

4. FIR滤波器,由于冲激响应是有限长的,因而可以用快速傅里叶变换算法,这样运算速度可以快得多,IIR滤波器则不能这样运算。

5. 从设计上看,IIR滤波器可以利用模拟滤波器设计的现成闭合公式、数据和表格,因而计算工作量较小,对计算工具要求不高。FIR滤波器则一般没有现成的设计公式,窗函数法只给出窗函数的计算工式,但计算通带、阻带衰衰减仍无显示表达式。一般FIR滤波器设计只有计算机程序可资利用,因而要借助于计算机。

6. IIR滤波器主要是设计规格化的、频率特性为分段常数的标准低通、高通、带通、带阻、全通滤波器,而FIR滤波器则要灵活得多,例如频率抽样设计法,可适应各种幅度特性的要求,因而FIR滤波器则要灵活得多,例如频率器可设计出理想正交变换器、理想微分器、线性调频器等各种网络,适应性较广。而且,目前已有许多FIR滤波器的计算机程序可供使用。

FIR滤波器和IIR滤波器的区别和选择相关推荐

  1. 简谈FIR滤波器和IIR滤波器的区别

    最近总是遇到很多大侠在问滤波器相关的问题,之前对这一方面接触不是很多,最近也是在学习一些这方面的知识,今天先和大侠简单聊一聊FIR滤波器和IIR滤波器的区别,后续等研究的差不多了,再更新有关滤波器的详 ...

  2. 【收藏】详解FIR滤波器和IIR滤波器的区别

    数字滤波器广泛应用于硬件电路设计,一般分为FIR滤波器和IIR滤波器.那么FIR滤波器和IIR滤波器有什么区别呢?本文通过几个例子做一个简单的总结. 一.FIR滤波器 定义: FIR滤波器是有限长单位 ...

  3. 详解FIR滤波器和IIR滤波器的区别

    数字滤波器广泛应用于硬件电路设计,一般分为FIR滤波器和IIR滤波器.下面说一下它的具体区别 一.FIR滤波器 定义: FIR滤波器是有限长单位冲激响应滤波器,又称为非递归型滤波器,是数字信号处理系统 ...

  4. FIR滤波器和IIR滤波器区别

    本文总结了FIR滤波器和IIR滤波器的原理和区别 保研夏令营的时候复习到了,但其实还有些地方没有完全弄通. 这里主要是介绍如何构造数字滤波器. 滤波器的表示 非递归(有限脉冲响应滤波器):输出仅依赖于 ...

  5. fir 滤波参数的含义_详解FIR滤波器与IIR滤波器的具体区别

    姓名:高新诚       学号:17021223282 原文链接:http://mp.weixin.qq.com/s/tC_mdXIeusuggDV1tn3sOw [嵌牛导读]:在大学中学习到了FIR ...

  6. FIR滤波器与IIR滤波器

    FIR和IIR滤波器的一个主要区别:FIR是线性相位,IIR为非线性相位(双线性变换法),对于非线性相位会造成的影响,可以这样考虑:对于输入的不同频率分量,造成的相位差与频率不成正比,则输出时不同频率 ...

  7. 【滤波专题-第3篇】IIR无限冲激响应和FIR有限冲激响应数字滤波器有什么区别?

    该文章在之前编写并发布过,现在重新编辑一下并纳入到滤波专题中. 之前已经讲过FIR和IIR的概念: Mr.看海:[滤波专题-第1篇]数字滤波器15分钟入门!--这可能是最简单的FIR有限冲激响应滤波讲 ...

  8. IIR无限冲激响应和FIR有限冲激响应数字滤波器有什么区别?

    从名字上看,"无限冲激响应"和"有限冲激响应"的区别一定在"冲激响应"上.在之前的文章里我们讲到了冲激响应的概念,文章里用被打了一巴掌(单位 ...

  9. FPGA数字信号处理(七)级联型IIR滤波器Verilog设计

    该篇是FPGA数字信号处理的第七篇,上一篇介绍了直接型IIR滤波器的原理,详细介绍使用Verilog HDL设计直接型IIR滤波器的方法.本文会介绍如何用Verilog HDL设计级联型IIR滤波器. ...

最新文章

  1. 过程即奖励(The Journey is the Reward)
  2. 自适应注意力机制在Image Caption中的应用
  3. etc目录名字的意思---挖Linux中的古老缩略语
  4. spring学习记录(一)
  5. 《SAS编程与数据挖掘商业案例》学习笔记之六
  6. IntelliJ IDEA内部设计
  7. 字符设备驱动高级篇3——自动创建字符设备驱动的设备文件
  8. TCP/ITX协议面试总结
  9. MFC没改啥就断言错误
  10. 鸡啄米VS2010/MFC编程入门教程——学习3(安装VS2010)
  11. 数据中心Overlay技术简介
  12. IDEA创建类注释模板和方法注释模板
  13. 【数据处理】Python matplotlib绘制双柱状图以及绘制堆积柱状图——保姆级教程
  14. 文件处理命令-目录处理命令
  15. 你有 10 年经验,为何还是败给只有 1 年经验的? | 程序员有话说
  16. git上传代码的时候出现:Please tell me who you are.解决方法
  17. Autodesk maya for Mac(三维动画制作软件)
  18. 图的存储结构(邻接矩阵和邻接表)
  19. 如何下载生物数据(四):SRA数据下载
  20. 装完声卡驱动还是没有声音?驱动人生8声音修复工具可以一键解决

热门文章

  1. 可可粉的全球与中国市场2022-2028年:技术、参与者、趋势、市场规模及占有率研究报告
  2. 国外问卷调查是真的么?
  3. openfire入门
  4. “从零到一“基于Freeswitch二次开发:Freeswitch入门与网络架构 (一)
  5. Apache HttpClient连接池泄露问题排查
  6. 大华球机出现不明色块的解决历程
  7. 偏微分方程(Partial Differential Equation I)
  8. 如何配置NATAPP
  9. Knockout.js-111
  10. 其他|Cherry 键盘 Fn 锁定切换方法