一、实验任务及要求

设计要求

设计一个彩灯控制逻辑电路,要求显示2种不同的花型:

花型1:10路彩灯按照L0、L1…..L9的顺序依次点亮至全亮,再按照L9、L8…..L0的顺序依次熄灭至全灭,间隔时间为1S。

花型2:10路彩灯按照L0L1亮、L1L2亮、L2L3亮、…L8L9亮、L9L0亮的顺序轮流点亮,间隔时间为1S。 控制电路设有启动、复位按钮。按下启动按钮,进入花型1、花型2并反复循环运行;任何时候按下复位按钮,全部灯灭。同时控制电路设有一个数码管用来显示正在运行的花型的序号。

二、思考题

1. 设计过程中遇到过哪些问题?是如何解决的?

2. 按实验任务要求设计电路,画出完整的逻辑电路图。

三、流水灯双花型设计与分析

实验电路仿真如下

(1) 此实验用到的芯片有74LS194N移位寄存器和74160N计数器。

(2) 计数器是从0000到0100计数,计数信号根据移位寄存器输出端信号来进行相应的翻转。0000和0001属于第一花型,即实现10路彩灯按照L0、L1…..L9的顺序依次点亮至全亮,再按照L9、L8…..L0的顺序依次熄灭至全灭,间隔时间为1S。0010和0011属于第二花型,10路彩灯按照L0L1亮、L1L2亮、L2L3亮、…L8L9亮、L9L0亮的顺序轮流点亮,间隔时间为1S。

(3) 当左端计数器为0000时,下面三个移位寄存器实现右移,右移输入1,实现逐渐亮灯。 当左端计数器为0001时,下面三个移位寄存器实现左移,左移输入0,实现逐渐灭灯。 当左端计数器为0010时,下面三个移位寄存器实现右移,右移输入1,亮第一盏灯。 当左端计数器为0011时,下面三个移位寄存器实现右移,右移输入1,实现两灯两灯亮。

(4) 计数器信号来临(用到计数器保持ENP端)。 最后一盏灯亮时0000计数器信号翻转,产生一个脉冲信号给ENP端,使其不保持(即计数)。 第一盏灯亮时0001计数器信号翻转,再次产生一个脉冲信号给ENP端。 第一盏灯亮时0010计数器信号翻转,再次产生一个脉冲信号给ENP端。 移位寄存器第11位为1时0011计数器信号翻转,产生一个脉冲信号给ENP端。

(5) 0100为循环信号,即异步清零。另外异步清零也受启动开关的影响,故将0100端跟启动开关与后才接到异步清零端。

(6) 数码管。左端数码管只是简单的计秒用,清零受开关和ENP端信号的影响;右端数码管为显示当前的花型。

(7) 开关。A开关主要实现对时钟的控制和灭灯功能,B开关为选择花型2,上接为花型二循环(利用load置数端),再按回原位为继续进入花型一、花型二循环亮灯。故功能实现完毕。

Multisim源文件:彩灯控制逻辑电路--流水灯双花型-嵌入式文档类资源-CSDN下载

百度云:链接:https://pan.baidu.com/s/1xGROdQMd7n3Ux8IRYj10hA?pwd=6666 
提取码:6666

彩灯控制电路设计 -- 流水灯双花型(附Multisim)相关推荐

  1. 单片机c语言编程实现中间向两边,再从两边向中间亮的程序流程图,单片机控制LED流水灯从中间向两边.doc...

    单片机控制LED流水灯从中间向两边,从两边向中间 这个就是把 先奇数亮再偶数亮,循环三次:一个灯上下循环三次:两个分别从两边往中间流动三次:再从中间往两边流动三次:不过这个程序实现的 应该是这样的 先 ...

  2. 89C51单片机定时器控制的流水灯

    /*************************************************** Copyright: 2014-02-11.version1.0 File name: tim ...

  3. STC15单片机 线性按键控制LED流水灯位移

    目录 1.现象 2.代码 3.LED模块原理图 4.线性键盘原理图 1.现象 程序下载完成后: 首先,由最右边的 LED1 点亮.当按下线性按键 S1 后,LED1 熄灭,LED2 点亮.其次,每按下 ...

  4. 51单片机 按键控制LED流水灯模式

    本章博客实现按键控制LED流水灯模式的功能 1.将之前文件中Delay.c.h复制过来,进行添加 2.模块化编程 3.main.c #include <REGX52.H> #include ...

  5. LabVIEW控制Arduino流水灯(基础篇—3)

    目录 1.实验目的 2.实验环境 3.程序设计 4.实验演示 1.实验目的 利用LIAT中的数字I/O函数库,通过LabVIEW控制Arduino Uno控制板上多个管脚上LED灯,实现依次点亮,依次 ...

  6. c语言流水灯仿真程序设计框图,基于单片机c语言控制的流水灯程序设计及prtes仿真图.docx...

    基于单片机c语言控制的流水灯程序设计及prtes仿真图 89c51与8个发光二极管相连流水控制 一.不同花样的控制源程序代码: /*#include #define uchar unsigned ch ...

  7. 4、Proteus仿真STM32串口通信,发送数据控制LED流水灯的启动和停止

    一.实验说明 本次实验建立在第三个实验基础上,稍加修改,通过串口调试助手发送数据到Proteus来实现对流水灯的启动和停止,所以实验采用2个通信串口,实验原理非常简单,只要熟悉第三次实验的过程,就非常 ...

  8. 从两边往中间流水灯c语言程序,单片机控制LED流水灯从中间向两边.doc

    WORD格式.分享 精品.资料 单片机控制LED流水灯从中间向两边,从两边向中间 这个就是把 先奇数亮再偶数亮,循环三次:一个灯上下循环三次:两个分别从两边往中间流动三次:再从中间往两边流动三次:不过 ...

  9. 从两边往中间流水灯c语言程序,单片机控制LED流水灯从中间向两边

    单片机控制LED流水灯从中间向两边,从两边向中间 这个就是把先奇数亮再偶数亮,循环三次:一个灯上下循环三次:两个分别从两边往中间流动三次:再从中间往两边流动三次:不过这个程序实现的应该是这样的先奇数亮 ...

  10. FPGA | 按键、拨码开关控制流水灯实验(附完整代码)

    FPGA 课程设计,果断选择最简单的花式点灯 实验要求 一.设计一个8路流水灯控制电路 二.有多种变化方式: 1)自左向右逐次点亮,或自右向左逐次点亮,到终点后在从头开始: 2)自左向右逐次熄灭,或自 ...

最新文章

  1. targetSdkVersion
  2. Neo4j-Cypher语言语法
  3. html input submit 执行,HtmlInputSubmit 服务器控件声明性语法
  4. OpenMeetings的安装
  5. JAVA Roman to Integer 罗马数转换为int型方法
  6. 13.2.虚拟化工具--jstat
  7. 图说世界编程语言排行
  8. Spring 多线程
  9. 站在巨人的肩膀上——Linux信号量操作
  10. java中final类调用_Java中final的使用
  11. windows最好用的mp3格式转换软件推荐
  12. 如何搭建中国电信物联网云平台
  13. 宝塔 无法自动认证,请填写本地服务器的登录信息
  14. 数据库事务特性学习笔记
  15. Java学习笔记day18-红黑树-Map
  16. FAQ0115 AT32使用AC6编译器注意事项
  17. 图形界面 I: 用于表单按钮的函数与删除界面元素 (第四章)
  18. 微信小程序入门与实战之更多电影列表与电影搜索
  19. python的for语句中i未被定义_python 报错 类名没有被定义
  20. VScode解决Backend TkAgg is interactive backend. Turning interactive mode on.

热门文章

  1. MySQL当前读和快照读
  2. windows多显示器任务栏设置:拖动窗口后任务栏显示在对应的显示器上
  3. html那个代码看不到内容,IE浏览器 查看不了HTML源代码的问题解决!
  4. 针对Sql Server中进行查询操作时提示“对象名无效”
  5. 逐步分析类的拆分之案例——五彩斑斓的小球碰撞
  6. Java微信表情包字符处理,数据库存储以及转义解决方式
  7. win7计算机u盘不显示盘符,win7系统识别U盘但不显示盘符该如何解决?
  8. php面试题之四——PHP面向对象(基础部分)
  9. 日常渗透刷洞的一些小工具
  10. 学计算机买电脑显卡1605ti够吗,GTX1650和GTX1050Ti哪个好?GTX1050ti和GTX1650性能差距对比评测...