文章目录

  • 1 创建新工程
    • 1.1 工程创建
    • 1.2 新建Verilog文件
    • 1.3 仿真
  • 参考

1 创建新工程

1.1 工程创建

1、首先打开Vavido软件,点击Creat Project或者在File——>Project——>New里面进行新工程的创建

2、然后在弹出的界面上点击Next进入下一个界面进行项目的命名及保存位置的选择(注意保存路径不能有中文否则后面可能会引起一些不必要的错误),然后进行下一步

3、选择RTL Project (一般为RTL工程),此时把Do not specify at this time勾上,表示在新建工程时不去指定源文件

4、接下来选择开发板型号,Parts表示器件,Boards表示板子,器件可以根据系列去选,也可以直接在Search栏搜索器件型号。器件的选择根据你的FPGA芯片来定,此处我们先随便选择一个

5、确认后点击Finish完成整个工程项目的创建

1.2 新建Verilog文件

1、新建Verilog文件,直接点击1处或者右键2处后点击Add Sources进行Verilog文件的创建

2、选择add or creat design source,其中1为创建约束文件;2为创建设计文件;3为创建工程仿真文件,因此我们存储选择第二个就可以了

3、然后选择Create File,若已有文件,则选择Add File添加文件即可

4、对文件进行命名与路径的选择(默认存放在工程默认路径),文件类型(File type)一般选择为Verilog,如果你用的是其它硬件描述语言,则选择你用的语言类型,然后点击Finish完成命名

5、在弹出的对话框里一次选择OK,Yes完成设计文件的新建

6、然后在Sources里面就可以看到刚才新建的设计文件,双击打开即可在右边的代码编辑区进行代码的编写

    7、运行后查看RTL原理图:在Flow Navigator 下点击RTL ANALYSIS -> Open Elaborated Design -> Schematic

1.3 仿真

1、新建测试文件,和新建设计文件几乎差不多,只是在这里选择的是Add or create simulation sources

2、同样在代码编辑区完成测试代码的编写

3、 在Flow Navigator界面下点击 Run Simulation -> Run Behavioral Simultion。如果代码没有错误,则一会儿将会在右边出现如下图所示的波形窗口。

参考

https://blog.csdn.net/qq_43646451/article/details/105419511

vivado使用方法(初级)相关推荐

  1. 上古卷轴5mo初始化python失败_《上古卷轴5》MO管理器设置方法初级教程 MO管理器怎么设置...

    各位玩家知道<上古卷轴5>的MO管理器怎么设置吗?下面小编给大家带来的是<上古卷轴5>MO管理器设置方法初级教程,快跟小编一起来看看吧. MO管理器下载:点击进入 1:首先最好 ...

  2. 提高电脑运行速度的方法(初级篇)

          提高电脑运行速度,一直是许多朋友普遍关心的一个问题.现就一些适应简单的办法简述于后,与朋友们分享. 一.提高电脑的启动速度       电脑启动刚进入系统界面时,点什么都打不开,要等一分钟 ...

  3. 基于ArcSDE的影像数据管理-解决篇(转载)

    本文为转载http://www.cnblogs.com/rib06/category/56544.html 疑惑篇中简单介绍了基于ArcSDE的影像数据管理的基本方法.策略及其缺陷.那么要想基于Arc ...

  4. 渣本毕业两年经验,看这一篇就够了!

    最近跟我的一些读者交流,有一位读者的经历让我记忆深刻: "有一次和大学同学聚会,和几个在BAT的同学聊了聊技术,发现自己在创业公司这几年,完全是吃老本的状态,没有什么机会精进技术,同样是工作 ...

  5. 这份1307页Android面试全套真题解析,源码+原理+手写框架

    前言 前不久,几个朋友聚会,谈到了现在的后辈,我就说起了那个大三就已经拿到网易offer的小学弟. 这个学弟是00后,专升本进入我们学校的.进来后就非常努力,每次上课都是第一个到教室的,每次都是坐第一 ...

  6. 为什么很多IT公司不喜欢进过培训机构的人呢?

    我平时会帮公司招些java方面的开发岗,也会利用周末兼职,帮一些java培训班学员找工作,结合这两方面的经验,说下为什么IT公司不喜欢招培训班学员的理由. 先说下一些客观情况. 1 就连不少培训班在辅 ...

  7. 软件测试工程师的“初—中—高”晋升之路

    前言 因太多小伙伴询问风风零基础如何转行软件测试.软件测试该学什么.中级和高级测试需要掌握哪些技能之类的问题. 为了让大家系统的了解软件测试,掌握合理.正确的学习方法和目标,风风决定制作一个学习 &a ...

  8. xilinx用户手册

    文章目录 用户手册 hls MPSoC: Embedded Design Tutorial zynq petalinux timing TCL Vivado 设计方法 OOC 提高vivado的编译速 ...

  9. 赛灵思中文版技术文档资源汇总(持续更新)

    2022年8月21日更新: AI 引擎内核编码 最佳实践指南 (UG1079) v2022.1 Versal ACAP AI 引擎编程环境 用户指南 (UG1076) v2022.1 Vitis AI ...

最新文章

  1. firefox固定为应用标签的功能很好用
  2. vuex——做简单的购物车功能
  3. 区块链BaaS云服务(21)腾讯CCGP”节点安全“
  4. 如何关闭Windows10任务栏里的应用图标
  5. 怒肝 8 个月源码,我成为了 Spring 开源贡献者
  6. kk6.0 服务器信息 端口,KK的服务器改了端口以后 为什么我进不去
  7. EXCHANGE指定要使用的域控制器、GC
  8. GitHub 官方终于要出 App 了!手机上也能改Bug了...
  9. android 新建桌面文件夹在哪里,添加并管理桌面文件夹
  10. 发送速率(传输速率)和传播速率
  11. ubuntu下安装wine1.8+QQ音乐
  12. C/C++学习笔记(2020.11---2021.5)
  13. Strings的用法
  14. 华为不要php开发,华为手机开发者选项的利与弊!有些设置最好不要打开
  15. 2023年美团科研合作课题公开征集启动
  16. 安全加密与证书签发工具--openssl
  17. 把json数据导入linux,使用json文件给es中导入数据
  18. cla作用matlab,CLA(共轭亚油酸)的作用
  19. java 检测表情符号_检测字符串中的字符是否为表情符号(使用Android)
  20. 基于JavaWeb平台的常用物联网硬件接口对接代码总结文档_田超凡

热门文章

  1. 【总结】线性代数的本质 - 3
  2. 基于聚类算法的图像分割技术
  3. 世界第一台电子计算机到底是谁?
  4. maya建模模型变黑问题解决
  5. LabVIEW编程LabVIEW开发控制PACE1000精密压力测试仪例程与相关资料
  6. Android 9 Pie
  7. 苍南五凤茶进杭城“香”约西湖龙井, 茶旅融合助力乡村振兴!
  8. Midjourney:一步一步教你如何使用 AI 绘画 MJ
  9. 图像尺寸与Rect适配
  10. 【英语小作文】-相关