http://bbs.ednchina.com/BLOG_ARTICLE_53109.HTM

时序电路的状态是一个状态变量集合,这些状态变量在任意时刻的值都包含了为确定电路的未来行为而必需考虑的所有历史信息

状态机采用VerilogHDL语言编码,建议分为三个always段完成。

三段式建模描述FSM的状态机输出时,只需指定case敏感表为次态寄存器, 然后直接在每个次态的case分支中描述该状态的输出即可,不用考虑状态转移条件。三段式描述方法虽然代码结构复杂了一些,但是换来的优势是使FSM做到了同步寄存器输出,消除了组合逻辑输出的不稳定与毛刺的隐患,而且更利于时序路径分组,一般来说在FPGA/CPLD等可编程逻辑器件上的综合与布局布线效果更佳。

示列如下:

//第一个进程,同步时序always模块,格式化描述次态寄存器迁移到现态寄存器always @ (posedge clk or negedge rst_n)  //异步复位if(!rst_n)current_state <= IDLE;elsecurrent_state <= next_state;//注意,使用的是非阻塞赋值//第二个进程,组合逻辑always模块,描述状态转移条件判断always @ (current_state)   //电平触发beginnext_state = x;  //要初始化,使得系统复位后能进入正确的状态case(current_state)S1: if(...)next_state = S2;  //阻塞赋值
...endcaseend//第三个进程,同步时序always模块,格式化描述次态寄存器输出always @ (posedge clk or negedge rst_n)//初始化case(next_state)S1:out1 <= 1'b1;  //注意是非阻塞逻辑

S2:out2 <= 1'b1;default:...   //default的作用是免除综合工具综合出锁存器。endcaseend

三段式并不是一定要写为3个always块,如果状态机更复杂,就不止3段了。
附一个比较好的状态机范例:
01 module FSM(clk,rst,in,out);
02 input clk,rst;
03 input [7:0] in;
04 output [7:0] out;
05
06 parameter [1:0] //synopsys enum code
07 START = 2'd0,
08 SA = 1,
09 SB = 2,
10 SC = 3;
11
12 reg [1:0] CS,NS;
13 reg [7:0] tmp_out,out;
14
15 // state transfer
16 always @ (posedge clk or negedge rst)
17 begin
18 if (!rst) CS <= #1 START;
19 else      CS <= #1 NS;
20 end
21
22 // state transfer discipline
23 always @ (in or CS)
24 begin
25     NS = START;
26     case (CS)
27        START: case (in[7:6])
28                        2'b11: NS = SA;
29                        2'b00: NS = SC;
30                        default: NS = START;
31                        endcase
32        SA: if(in == 8'h3c) NS = SB;
33        SB: begin
34                 if (in == 8'h88) NS = SC;
35                 else             NS = START;
36                 end
37        SC: case(1'b1) //synopsys parallel_case full_case
38                 (in == 8'd0): NS = SA;
39                 (8'd0 < in && in < 8'd38): NS = START;
40                 (in > 8'd37): NS = SB;
41                   endcase
42        endcase
43 end
44
45 // temp out
46 always @ (CS)
47 begin
48     tmp_out = 8'bX;
49     case (CS)
50        START: tmp_out = 8'h00;
51        SA:   tmp_out = 8'h08;
52        SB:   tmp_out = 8'h18;
53        SC:   tmp_out = 8'h28;
54     endcase
55 end
56
57 // reg out
58 always @ (posedge clk or negedge rst)
59 begin
60     if (!rst) out <= #1 8'b0;
61     else     out <= #1 tmp_out;
62 end
63
64 endmodule

二、两段式有限状态机与三段式有限状态机的区别:

  FSM将时序部分(状态转移部分)和组合部分(判断状态转移条件和产生输出)分开,写为两个always语句,即为两段式有限状态机。将组合部分中的判断状态转移条件和产生输入再分开写,则为三段式有限状态机。
区别:
  二段式在组合逻辑特别复杂时适用,但要注意需在后面加一个触发器以消除组合逻辑对输出产生的毛刺。三段式没有这个问题,由于第三个always会生成触发器。
  设计时注意方面:
  1.编码原则,binary和gray-code适用于触发器资源较少,组合电路资源丰富的情况(CPLD),对于FPGA,适用one-hot code。这样不但充分利用FPGA丰富的触发器资源,还因为只需比较一个bit,速度快,组合电路简单。
  2.FSM初始化问题:
  GSR(Gobal Set/Reset)只是在加电时清零所有的reg和片内ram,并不保证FSM能进入初始化状态,要利用GSR,方案是适用one-hot code with zero idle,即初始状态编码为全零。已可以适用异步复位rst
  3.FSM输出可以适用task
  4.FSM中的case最好加上default,默认态可以设为初始态
  5.尤其注意
    第二段的always(组合部分,赋值用=)里面判断条件一定要包含所有情况!可以用else保证包含完全。
  6、第二段always中,组合逻辑电平要维持超过一个clock,仿真时注意。

三、总结

   为了便于记忆,把二段、三段式的特点终结成几句话:
        二段式:状态切换用时序逻辑,次态输出和信号输出用组合逻辑。
        三段式:状态切换用时序逻辑,次态输出用组合逻辑,信号输出用时序逻辑。信号输出的process中,case语句用next state做条件,可以解决比组合逻辑输出慢一拍的问题。
有时候判断次态需要用到计数器怎么办呢(计数器是时序电路,用组合逻辑是实现不了的)?方法是独立实现一个计数器,而在组合逻辑里用使能信号(或清除、置位等)来控制它。

Verilog状态机的编写学习相关推荐

  1. verilog状态机

    Verilog是硬件描述语言,硬件电路是并行执行的,当需要按照流程或者步骤来完成某个功能时,代码中通常会使用很多个if嵌套语句来实现,这样就增加了代码的复杂度,以及降低了代码的可读性,这个时候就可以使 ...

  2. FPGA之道(37)Verilog中的编写注意事项

    文章目录 前言 Verilog中的编写注意事项 大小写敏感 Verilog中的关键字 范围定义的正确使用 不要省略begin与end 注释中斜杠的方向 编译指令中的前导符号 混用阻塞和非阻塞赋值的危害 ...

  3. Verilog状态机常见三种写法

    1.1理论   Verilog状态机又称同步状态机(FSM,Finite State Machine),一般又叫状态机,在Verilog描述电路中大部分是同步执行(并行)的,但是很多时候需要处理明显具 ...

  4. 动量策略编写技巧----策略编写学习教材

    动量策略编写技巧----策略编写学习教材(三) 一.本章内容主要介绍了如何在MINDGO平台上快速学会编写动量策略,希望能给有需要的小伙伴带来一定帮助. 本文建立于多因子策略编写技巧之上,因此需要完整 ...

  5. 基于vivado(语言Verilog)的FPGA学习(3)——FPGA理论知识

    基于vivado(语言Verilog)的FPGA学习(3)--FPGA理论知识 文章目录 基于vivado(语言Verilog)的FPGA学习(3)--FPGA理论知识 1. FPGA介绍 1.1.F ...

  6. 根据Verilog状态机画门级电路

    根据Verilog状态机画门级电路 第一次写博客,还不太熟悉工具的使用,记录一下自己的学习过程.账号还是几年前为了下载文档注册的,胡乱的起了个名字,发现名字改不了,呵呵. 工具会将状态机综合成什么?以 ...

  7. verilog 计数器_HDLBits: 在线学习 Verilog ()

    本系列文章将向大家推荐一个学习 Verilog 的好去处:HDLBits. HDLBits 在提供 Verilog 基础语法教程的同时,还能够在线仿真你的 Verilog 模块,将你的输出与正确的时序 ...

  8. verilog状态机练习

    任务: 1.根据以下描述功能用verilog编写一段代码,并用状态机来实现该功能. (1)状态机:实现一个测试过程,该过程包括启动准备状态.启动测试.停止测试.查询测试结果.显示测试结果.测试结束返回 ...

  9. VS2010插件编写学习总结

    VS2010 Addins 外接程序(插件)开发 http://www.cnblogs.com/Leo_wl/archive/2013/03/21/2973886.html 简单做了一个添加文件头注视 ...

最新文章

  1. 7月5日服务器临时维护更新公告,7月7日临时维护公告
  2. bzoj5108 数据_成都day3t3
  3. scala学习手记5 - 元组与多重赋值
  4. CVPR 2021 3D视觉相关最新进展分享
  5. 函数声明优先级高于变量赋值
  6. ajax 弹框 示例,AJAX实现鼠标经过弹出详细介绍示例
  7. 第三篇:命名空间namespace的用法
  8. NetOps Defined
  9. x射线微型计算机断层扫描技术,X射线断层扫描技术,分析缺陷对性能影响的利器...
  10. RGB HSV CMYK LAB颜色空间
  11. 机器学习推荐算法之关联规则(Apriori)——支持度;置信度;提升度
  12. NEO dapp开发系列课程 第一组 第二讲
  13. CDR插件开发之Addon插件007 - Addon插件简介和案例演示
  14. 在滴滴云快速搭建自己的简易服务集群(入门版)
  15. 线性单节锂电充电IC
  16. 强化学习 11 —— REINFORCE 算法推导与 tensorflow2.0 代码实现
  17. cesium 起火_数字房子着火了
  18. 会声会影2022旗舰终极版详细功能介绍
  19. oracle授予数据库所有权限,oracle创造新用户及授予常用权限
  20. 【React函数的柯里化】4、什么?这玩意儿不仅能装x,还能优化代码?

热门文章

  1. weblogic启动脚本
  2. WPF/Silverlight Undo/Redo框架
  3. Text Processing in Python
  4. Go实现Raft第二篇:选举
  5. React 第十一章 组件的组合使用
  6. 算法题存档20200627(树)
  7. PHP 获取服务器详细信息
  8. Datepicker-for-Bootstrap 日期选择插件
  9. 框架源码专题:Mybatis启动和执行流程、源码级解析
  10. Jeecg-Boot 快速生成前后端代码