差分对的约束设置

第一步,差分对的设置

差分对的设置有很多方法,下面介绍两种最常用的方法。

1. 点击菜单Logic→Assign Differential Pair... 弹出以下对话框。

点击你想要创建差分对的Net1和Net2,填入差分的名字,点击Add后就成功创建了差分对。

点击Auto Generate按钮后,弹出以下对话框:

在第一个输入框填入Net的主要名字后,在下面的框中填入差分线的标志如N,P。点击Generate即可自动产生差分对。

2.在约束管理器中设置差分对。

在DSN上点击右键,在菜单中选择Create→Differential Pair。即可弹出下面的对话框。

和上一种方法的设置差不多,这里就不再叙述了。

第二步  差分对约束规则的设置

差分对各项约束可以在约束管理器中的Electric→Net→routing→Differential Pair中直接在各差分对上填入各项约束数值就可生效,但更好的方法是创建约束规则后赋给各个差分对。

在DSN上点击右键,在菜单中选择Create→Electrical CSet后,弹出下面的对话框;

输入规则名后点Ok,在Electric→constraimt set→outing→Differential Pair中可以看到新规则。

在表格中输入各项数值即可完成新规则的设置。如图所示

差分对约束参数主要有以下几个:

1coupling paramaters 主要包括了

Primary Gap 差分对最优先线间距(边到边间距)。

Primary Width 差分对最优先线宽。

Neck Gap 差分对Neck模式下的线间距(边到边间距),用于差分对走线在布线密集区域时切换到Neck值。

Neck Width差分对Neck模式下的线宽,用于差分对走线在布线密集区域时切换到Neck值。如图所示

设置数值时在表格中右键菜单中选择change,会出现以下各层数值表格,可以在每一层上设置不同的数值。

需要注意的是在物理(physical)约束中同样可以设置差分规则,但是电气规则约束在布线时更优先,同时电气规则可以设置更多的约束,推荐在电气规则中设置差分走线的约束。

2 Min Line Specing 差分对最小间距,一定要小于或等于"Primary gap"与(-)tolerance的数值,并且也要小于或等于"Neck gap"与(-)tolerance的数值。对于不符合约束的差分对,会显示“DS”的DRC错误提示。

3 Dynamic Phase:动态相位检查,在16.3版本新加入的功能。对差分对路径中每个转角之间造成的路径差异进行检查。如在整个差分对网络中,正向与反向之间的走线差距不能超过“x mils”。如果整个路径中的某一个位置,发生了两个信号之间相位偏移超过了规定的“x mils”,这个误差必须在“y mils”范围内补偿回来。如下图x=20,y=600.设定约束时tolerance填入x值,max length填入y值。

对于不符合约束的走线的路径会以高亮显现,并且显示DY错误。

Allegro16.3约束设置相关推荐

  1. 第13章-1~3 法兰接头预紧力密封接触分析(模型简化、网格调整、约束)并行计算设置、摩擦接触设置adjust to touch 、约束设置frictionless support、bolt

    13-01 问题描述.考察的内容,模型小特征.螺栓组的简化,计算的简化.建模操作及注 (相关不清楚的设置在之前的博客有介绍) 问题描述 1 和 4为端盖 2为垫片 3 为螺栓 简化了螺栓,把六边形简化 ...

  2. 如何在Allegro16.3里设置Xnet并进行等长设置

    附件是一篇网上找到的文章,此文详细介绍了如何设置Xnet以及进行等长设置走线.本人的应用相对更简单,由于不是经常画板子,所以对于如何使用Xnet常常忘记,而本文介绍的相对复杂,为了在每次画板子的时候相 ...

  3. allegro约束设置

    1, 添加库:setup/user preferences/paths/libraby里面修改padpath和psmpath.如图 2,线宽约束 默认线宽DEFUALT:8mil,过孔选择:VIA10 ...

  4. AnlogicFPGA-IO引脚约束设置

    (https://www.eefocus.com/article/472120.html此链接是一篇关于XillinxFPGA的IO的状态分析,希望自己也要能了解到AnLogic的IO状态并有对此问题 ...

  5. mysql设置约束设置范围_MySql 约束条件

    约束 sql约束:约束用于限制加入表的数据的类型.是一种限制,它通过对表的行或列的数据做出限制,来确保表数据的完整性.唯一性可以在创建表时规定约束(通过 CREATE TABLE 语句),或者在表创建 ...

  6. 时序约束基础 和 quartusII 中的设置

    时序约束目的: 一.提高设计的工作频率 二.获得正确的时序分析报告(STA:静态时序分析) 常用的时序概念:   周期,    最大时钟频率.    时钟建立时间.时钟保持时间.    时钟到输出延时 ...

  7. 第15章-4~6 装配体静力学分析经验技巧总结篇 (工作原理的简化、约束、预紧力、载荷、后处理)高效修改接触对、suppress(抑制)、多工位(多步计算)的螺栓预紧力设置

    15-04 工作原理的对接 (相关不清楚的设置在之前的博客有介绍,欢迎关注博客.批评指正) 在仿真前必须对工位吊的原理非常清楚. 接触类型辨别: 1转筒1和转台2的接触面有三个,它们之间是摩擦接触. ...

  8. MySQL设置主键、联合主键、外键、唯一约束、非空约束、默认约束

    MySQL登录.查看记录等基本操作 MySQL设置表的属性值自动增加 auto_increment 1.主键.联合主键.外键 1.什么是主键 主键是在多条记录中用于确定一条记录时使用的标识符.主键具备 ...

  9. 支持向量机(SVM)的约束和无约束优化、理论和实现

    http://blog.itpub.net/29829936/viewspace-2636249/ 2019-02-16 21:59:23 优化是机器学习领域最有趣的主题之一.我们日常生活中遇到的大多 ...

最新文章

  1. Mysql 数据库锁表的原因和解决方法
  2. MVC 支持同名路由,不同命名空间
  3. Mysql数据库使用总结
  4. 编程大白给编程小白的四点建议
  5. android用kotlin制作计算器,使用Kotlin做一个简单计算器
  6. python查询最高分_精通 Oracle+Python,第 1 部分:查询最佳应践
  7. java byte 转换int_java byte负数转换int失真?
  8. 81岁自学编程,苹果最高龄iOS开发者:阻碍你实现梦想的,从来就不是年龄
  9. Podfile文件用法详解
  10. WinTel联盟发展史
  11. 网络安全技术 | 身份认证的革命——生物特征身份认证
  12. 【ACM】心路历程2019.9.29
  13. 马德里的Uber司机
  14. 小米手机MIUI关闭广告
  15. simulink他励直流电动机串电阻启动仿真
  16. 参禅静坐--虚极静笃--快速恢复脑力体力
  17. python海龟绘图画海螺_我学会了画画100字
  18. 使用浏览器的计算力,对抗密码破解
  19. 三菱PLC slmp(mc)协议
  20. 服务器文件路径的例子,完整SQL Server实例迁移案例

热门文章

  1. 高中生为“爱”黑苹果服务器?还有哪些大牛被黑客“照顾”过……
  2. checkbox标签内容太长,无法自动换行问题
  3. Opencv 图像深度+转换深度
  4. VMware 14安装教程(内含Crack)
  5. Python如何忽略警告
  6. 【VMware vSAN 7.0】6.3 使用延伸群集的最佳做法—我们有软硬件解决方案
  7. AI图像应用—换装(持续更新)
  8. ZYNQ-使用AXI DMA IP进行环路测试
  9. 深入浅出Java中参数传递的原理
  10. 生成器——send用法