Synopsys工具安装之二【SCL && License】

  • 前言
  • 一、如何下载SCL?
  • 二、如何安装SCL?
    • 2.1 使用非root账号登陆服务器
    • 2.2 使用Installer安装
    • 2.3 按照提示进行安装
    • 2.4 选择安装的target目录
  • 三、如何启动license?
    • 3.1 修改license key 文件
    • 3.1 启动license服务器
    • 3.2 停止license服务器
    • 3.3 修改防火墙设置
  • 四、如何使用license服务器
  • 五、Others 常用命令
    • 5.1 lmstat
    • 5.2 lmreread
    • 5.3 lmhostid
    • 5.4 lmutil
    • 5.5 lmver

前言

synopsys的工具,是需要官方授权的license认证,才可以运行的。因此需要搭建license服务器,以提供license服务。synospys提供了scl工具,用来搭建license服务器。以最新的scl_v2021.12为例

一、如何下载SCL?

首先注册solvnet账号,使用Fillzilla连接solvnet,登陆成功后找到/MyProducts/rev/scl_v2021.12文件夹并下载。

二、如何安装SCL?

2.1 使用非root账号登陆服务器

2.2 使用Installer安装

%installer
或者
%installer -gui

如果是root账号登陆必须按照下面的cmd执行安装

% installer -install_as_root

2.3 按照提示进行安装

当出现提示时,指定包含SCL的源目录,即Synopsys产品文件(*.spf)。例如,

/tools/eda/synopsys/scl_v2021_pkg

2.4 选择安装的target目录

当提示选择要安装的目标位置时,最好不指定产品名称或版本。相反,请指定上一级目标
包含Synopsys产品文件的目录。

/tools/eda/synopsys

默认情况下,源目录中的所有产品都会被安装在synopsys目录下,工具树像这样:

/tools/eda/synopsys/scl/<version>
/tools/eda/synopsys/syn/<version>
/tools/eda/synopsys/vcs/<version>
/tools/eda/synopsys/verdi/<version>
% cd /tools/eda/synopsys/scl/v2021.12
% ls
admin doc examples install.log LICENSE.TXT linux64

三、如何启动license?

3.1 修改license key 文件

需要修改:
• host :修改为本机的hostname
• 90E2CA89C4B8, 这个不能修改,这个是授权license绑定的网卡地址
• 27000: license服务器对外提供服务的端口号。记住该端口,后续需要防火墙放行该端口。
• snpslmd_path:修改为lmgrd命令的路径

SEVER <host> 90E2CA89C4B8 27000
VENDOR snpslmd <snpslmd_path> ##/tools/eda/synopsys/scl/v2021.12/linux64/bin/snpslmd
USE_SERVER

3.1 启动license服务器

[ServerA@hmli] ./lmgrd -c ./license.txt -l lic.log

查看debug log lic.log如下:

2:34:47 (lmgrd) Server's System Date and Time: Mon Dec 06 2021 02:34:47 PST
2:34:47 (lmgrd) SLOG: Summary LOG statistics is enabled.
2:34:47 (lmgrd) FlexNet Licensing (v11.16.4.0 build 252457 x64_lsb) started on hostname1 (linux) (12/06/2021)
2:34:47 (lmgrd) Copyright (c) 1988-2019 Flexera. All Rights Reserved.
2:34:47 (lmgrd) World Wide Web: http://www.flexerasoftware.com
2:34:47 (lmgrd) License file(s): synopsys.lic
2:34:47 (lmgrd) lmgrd tcp-port 27020
2:34:47 (lmgrd) (@lmgrd-SLOG@) ===============================================
2:34:47 (lmgrd) (@lmgrd-SLOG@) === LMGRD ===
2:34:47 (lmgrd) (@lmgrd-SLOG@) Start-Date: Mon Dec 06 2021 02:34:47 PST
2:34:47 (lmgrd) (@lmgrd-SLOG@) PID: 18247
2:34:47 (lmgrd) (@lmgrd-SLOG@) LMGRD Version: v11.16.4.0 build 252457 x64_lsb ( build 252457 (ipv6))
2:34:47 (lmgrd) (@lmgrd-SLOG@)
2:34:47 (lmgrd) (@lmgrd-SLOG@) === Network Info ===
2:34:47 (lmgrd) (@lmgrd-SLOG@) Listening port: 27020
2:34:47 (lmgrd) (@lmgrd-SLOG@)
2:34:47 (lmgrd) (@lmgrd-SLOG@) === Startup Info ===
2:34:47 (lmgrd) (@lmgrd-SLOG@) Server Configuration: Single Server
2:34:47 (lmgrd) (@lmgrd-SLOG@) Command-line options used at LS startup: -c synopsys.lic -l ser.log -reuseaddr
2:34:47 (lmgrd) (@lmgrd-SLOG@) License file(s) used: synopsys.lic
2:34:47 (lmgrd) (@lmgrd-SLOG@) ===============================================
2:34:47 (lmgrd) Starting vendor daemons ...
2:34:47 (lmgrd) Started snpslmd (internet tcp_port 27021 pid 18250)
2:34:47 (snpslmd) FlexNet Licensing version v11.16.4.0 build 252457 x64_lsb
12/06/2021 02:34:47 (snpslmd) INFO: Pooling on VENDOR_STRING is Disabled (to enable, set SCL_POOL_VS=1)
12/06/2021 02:34:47 (snpslmd) Synopsys Corporate Licensing (SCL) Release: version SCL_2021.12
12/06/2021 02:34:47 (snpslmd) INFO: License sanity check enabled (3600)
12/06/2021 02:34:47 (snpslmd) INFO: File descriptor limit (soft, hard) for snpslmd: 4096, 4096
12/06/2021 02:34:47 (snpslmd) INFO: TCP - tcp_keepalive_time - 7200 | tcp_keepalive_intvl - 75 | tcp_keepalive_probes - 9 | tcp_fin_timeout - 60 | net.ipv4.tcp_max_syn_backlog=2048 | net.core.somaxconn=128
2:34:47 (snpslmd) SLOG: Summary LOG statistics is enabled.
2:34:47 (snpslmd) Server started on hostname1 for: DC-Expert
2:34:47 (snpslmd) DC-Ultra-Features DC-Ultra-OptDesign-Compiler Designware
2:34:47 (snpslmd) HDL-Compiler ISE-TCAD_feat1 all_feat
2:34:47 (snpslmd) SSS
2:34:47 (snpslmd)
2:34:47 (snpslmd) Licenses are case sensitive for TE_CATS
2:34:47 (snpslmd)
2:34:47 (snpslmd) EXTERNAL FILTERS are OFF
2:34:47 (lmgrd) snpslmd using TCP-port 27021
2:34:47 (snpslmd) Serving features for the following vendor names:
snpslmd ACAD adalmd anagram arcd atrenta avantd CADABRA chrysalisd cowared doradod EPIC eved extremed hscd innologd ISE-TCADd knights magma metasoftd mwflexd nassd nsysnvs numeritchd pdld perflmd riod saber_dmn sandwork sclmgrd sigmacd SIINT simple snpsOEM1 snslmgrd ssilmd synforad synopsysd synplctyd targetld TAVEREN TE_CATS tmald vastlmd vcsd wlld
12/06/2021 02:34:47 (snpslmd) ------------------------------------------------------------------
12/06/2021 02:34:47 (snpslmd) Checking the integrity of the license file...
12/06/2021 02:34:47 (snpslmd) Valid SSS feature found.
12/06/2021 02:34:47 (snpslmd) The feature is needed to enable the other keys in your license file.
12/06/2021 02:34:47 (snpslmd) Licensed to Synopsys R & D
12/06/2021 02:34:47 (snpslmd) Siteid: 100, Server Hostid: xxxxxxxxxxxx, Issued on: 12/06/2021
12/06/2021 02:34:47 (snpslmd) ------------------------------------------------------------------
12/06/2021 02:34:47 (snpslmd) INFO: Server WAN NC data enabled
2:34:47 (snpslmd) SLOG: Statistics Log Frequency is 240 minute(s).
2:34:47 (snpslmd) SLOG: TS update poll interval is 0. TS update is detected by midnight reread only.
2:34:47 (snpslmd) SLOG: Activation borrow reclaim percentage is 0.
2:34:47 (snpslmd) (@snpslmd-SLOG@) ===============================================
2:34:47 (snpslmd) (@snpslmd-SLOG@) === Vendor Daemon ===
2:34:47 (snpslmd) (@snpslmd-SLOG@) Vendor daemon: snpslmd
2:34:47 (snpslmd) (@snpslmd-SLOG@) Start-Date: Mon Dec 06 2021 02:34:47 PDT
2:34:47 (snpslmd) (@snpslmd-SLOG@) PID: 18250
2:34:47 (snpslmd) (@snpslmd-SLOG@) VD Version: v11.16.4.0 build 252457 x64_lsb ( build 252457 (ipv6))
2:34:47 (snpslmd) (@snpslmd-SLOG@)
2:34:47 (snpslmd) (@snpslmd-SLOG@) === Startup/Restart Info ===
2:34:47 (snpslmd) (@snpslmd-SLOG@) Options file used: None
2:34:47 (snpslmd) (@snpslmd-SLOG@) Is vendor daemon a CVD: Yes
2:34:47 (snpslmd) (@snpslmd-SLOG@) Is FlexNet Licensing Service installed and compatible: No
2:34:47 (snpslmd) (@snpslmd-SLOG@) FlexNet Licensing Service Version: -NA-
2:34:47 (snpslmd) (@snpslmd-SLOG@) Is TS accessed: No
2:34:47 (snpslmd) (@snpslmd-SLOG@) TS access time: -NA-
2:34:47 (snpslmd) (@snpslmd-SLOG@) Number of VD restarts since LS startup: 0
2:34:47 (snpslmd) (@snpslmd-SLOG@)
2:34:47 (snpslmd) (@snpslmd-SLOG@) === Network Info ===
2:34:47 (snpslmd) (@snpslmd-SLOG@) Listening port: 27021
2:34:47 (snpslmd) (@snpslmd-SLOG@) Daemon select timeout (in seconds): 1
2:34:47 (snpslmd) (@snpslmd-SLOG@)
2:34:47 (snpslmd) (@snpslmd-SLOG@) === Host Info ===
2:34:47 (snpslmd) (@snpslmd-SLOG@) Host used in license file: hostname1
2:34:47 (snpslmd) (@snpslmd-SLOG@) HostID node-locked in license file: xxxxxxxxxxxx
2:34:47 (snpslmd) (@snpslmd-SLOG@) HostID of the License Server: "xxxxxxxxxxxx xxxxxxxxxxxx xxxxxxxxxxxx xxxxxxxxxxxx"
2:34:47 (snpslmd) (@snpslmd-SLOG@) Running on Hypervisor: Not determined - treat as Physical
2:34:47 (snpslmd) (@snpslmd-SLOG@) ===============================================

打印上述信息,那么表示license服务器,启动成功。

3.2 停止license服务器

lmdown -c /tools/eda/synopsys/scl/admin/license/license.txt

3.3 修改防火墙设置

license服务器会占用一个端口来提供license服务,该端口一般是在license.txt文件中设置的,一般是27000, 需要防火墙放行该端口,例如centos7系统需要执行

% firewall -cmd -permanent -add-port=27000/tcp

四、如何使用license服务器

如果需要使用license服务器,来对synopsys工具进行授权。需要设置以下环境变量:
setenv SNPSLMD_LICENSE_FILE license服务器端口号@服务器IP地址 例如:

% setenv SNPSLMD_LICENSE_FILE 27000@192.192.168.1
% setenv LM_LICENSE_FILE '27000@192.192.168.1:27020@192.192.168.1'
•   ip地址为 192.168.11.111
•   端口为 27000 和 27020

设置该环境变量后,当启动synospys工具时,工具会自动和license服务器通信,获取license授权,如果授权成功,就可以正常启动该工具。

五、Others 常用命令

5.1 lmstat

显示许可证服务器的状态:

-a 显示所有信息
-A 只显示活动状态
-f [feature_name]] (list usage info about specified (or all) feature(s))
-c [license_file] (use “license_file” as license file)

[ServerA@hmli] lmstat -a -c 27000@192.192.168.1
--------
Status
--------Flexible License Manager status on Sat 4/14/2018 09:53[Detecting lmgrd processes...]License server status: 27000@serverBLicense file(s) on serverB: /tools/eda/synopsys/SCL/v2021.12/admin/license/license.txt:mu01: license server UP (MASTER) v11.14.0Vendor daemon status (on mu01):snpslmd: UP v11.14.0Feature usage info:Users of zip_VirualBox: (Total of 10 licenses issued; Total of 2 licenses in use)Users of Verdi: (Total of 15 licenses issued; Total of 8 licenses in use)Users of VT_Coverage: (Total of 30 licenses issued; Total of 20 licenses in use)
...

5.2 lmreread

使许可证管理器守护程序重读许可证文件并启动 Citrix 供应商守护程序

5.3 lmhostid

报告运行 Citrix Licensing 的服务器的主机 ID

5.4 lmutil

显示许可实用程序列表或运行其他命令。

5.5 lmver

显示许可二进制文件的版本信息

Synopsys工具安装之二【SCL License】相关推荐

  1. synopsys工具安装系列(三)- 安装verdi工具

    安装verdi工具,需要借助installer工具来进行安装. 首先从官网下载verdi的安装包.我这里是verdi的最新版本,2020.12-SP1-1版本. 下载的安装包内容如下所示: 将该安装包 ...

  2. Cadence和Synopsys工具介绍

    参考博文:https://blog.csdn.net/qq_28284627/article/details/52062031 和 https://blog.csdn.net/palaciopku/a ...

  3. 【工具分享篇二】PDF公式提取教程(一):Mathpix/Typora及Pandoc扩展安装教程

    前言 这期我们来分享几个应用的下载与安装教程,以保障下一篇<PDF公式提取教程(二)>的软件条件 [工具分享篇]PDF公式提取教程(二)_Liam77的博客-CSDN博客[工具分享篇]PD ...

  4. 无线智能插座Arduino开发工具下载安装教程二

    如果无线智能插座Arduino开发工具下载安装教程一由于电脑系统原因安装完成而打开失败,那无线智能插座Arduino开发工具下载安装教程二就派上用场了,教程二的详细操作过程如下(注明:但影响ESP82 ...

  5. OpenDaylight开发hello-world项目之开发工具安装

    OpenDaylight开发hello-world项目之开发环境搭建 OpenDaylight开发hello-world项目之开发工具安装 OpenDaylight开发hello-world项目之代码 ...

  6. 【安卓开发系列 -- 开发环境】Unbuntu 下 Android 持续集成打包环境搭建 -- Jenkins 构建工具安装(gradle + git + android 工具)

    [安卓开发系列 -- 开发环境]Unbuntu 下 Android 持续集成打包环境搭建 -- Jenkins 构建工具安装(gradle + git + android 工具) [1]Unbuntu ...

  7. IntelliJ IDEA开发工具安装教程(2022.2最新版)

    IntelliJ IDEA开发工具安装教程(2022.2最新版) 下载官网网址: https://www.jetbrains.com/idea/ 一.下载安装: 1, 打开官网下载IntelliJ I ...

  8. 【Java 虚拟机原理】垃圾回收算法( Java VisualVM 工具 | 安装 Visual GC 插件 | 使用 Java VisualVM 分析 GC 内存 )

    文章目录 一.Java VisualVM 工具安装 Visual GC 插件 二.使用 Java VisualVM 分析 GC 内存 一.Java VisualVM 工具安装 Visual GC 插件 ...

  9. Windows下MetaMap工具安装

    Windows下MetaMap工具安装 一.Main MetaMap安装 Prerequisties 12G磁盘空间 JAVA6 or newer JRE or SDK installed Downl ...

  10. 【Tools】StarUML2.8工具安装和破解

    0. 目录 0. 目录 1. StarUML简介 2. StartUML的特点 3. StarUML工具下载 4. StartUML工具安装 5. StarUML破解 1. StarUML简介 Sta ...

最新文章

  1. Process monitor词汇汉化
  2. UNION 与 UNION ALL
  3. php fpm xcache,php扩展xcache
  4. 【python】openstack管理小工具(增删查改,批量ping)
  5. Vector用法详解
  6. register_globals(全局变量注册开关)
  7. 追MM与设计模式的有趣见解
  8. python数字转字符串_python如何将字符转换为数字
  9. ASP.NET Boilerplate Zero启动方式
  10. 离线批量数据通道Tunnel的最佳实践及常见问题
  11. 自动化测试——何为自动化测试,为何自动化测试
  12. 传输层协议TCP和UDP分析
  13. 英伟达驱动更新记录_英伟达GeForce显卡驱动411.63版更新内容
  14. RK3399驱动开发 | 09 - 基于RK808 PMIC的电源管理驱动
  15. 【数字信号处理】FIR 滤波器基础理论
  16. “JSON parse error: Unexpected character (‘\“‘ (code 34)): was expecting comma to separate Object ent
  17. 创新趋势 | SaaS增长新趋势:产品驱动增长PLG(上)
  18. Vue之Hello World!
  19. n行Python代码系列:五行代码实现两个视频画中画播放
  20. android obb在哪,安卓手机如何打开.obb文件?

热门文章

  1. 【mysql】MySQL中的锁原理(表锁、行锁、间隙锁、共享锁、排他锁)
  2. 华为u8500开启log方法
  3. vc语言c1083错误,VC Fatal Error C1083的几种解决方案
  4. PMSG孕马血清促性腺激素适用的应用方案
  5. 计算机 玩体感游戏,电脑也能玩体感游戏了 微软推出Windows版Kinect
  6. android 谷歌地图围栏,基于OpenLayers实现地理围栏 谷歌百度高德地图都可以
  7. Java中进行Debug断点调试
  8. 微型计算机什么样子,微型计算机的组成有哪些 -价格怎么样?
  9. 笔记本电脑触摸板的使用
  10. Android安装同应用不同版本,android一个应用如何在一个手机上装多个不同版本的方法...