注意:组合电路不能使用clocking块,组合逻辑信号的变化是立即的,不等待上升沿触发。

为了便于理解clocking块的提前采样、延后驱动,做图一图二对比说明:

仿真代码如下:

`timescale 1ns/1ps;
interface intf;bit clk;bit siga;clocking mon@(posedge clk);default input #1ns output #1ns;input siga;endclocking
endinterfacemodule tb;intf if0();initial forever #5ns if0.clk=!if0.clk;initial begin#12ns;if0.siga=1;#2.5ns;if0.siga=0;#12ns;if0.siga=1;#17ns;if0.siga=0;#12ns;if0.siga=1;#17ns;if0.siga=0;end
endmodule

由图一可以看出,1、interface输入输出的sign值不受其他影响。

由于mon是上升沿采样,导致12+1.5=13.5时,mon正在15ns上升沿提前一秒采样,mon.sign采样值为0。

图一

由图二可以看出1、interface输入输出的sign值不受其他影响。

由于mon是上升沿采样,导致12+1.5=13.5时,mon正在15ns上升沿提前一秒采样,mon.sign采样值为1。

图二

System Verilog clocking块相关推荐

  1. System Verilog约束块(constrain block)控制和随机变量的随机属性控制

    System Verilog约束块constrain block控制和随机变量的随机属性控制 1.constrain_mode()函数 a.constrain_mode()可以控制一个约束块 b.co ...

  2. (20)System Verilog利用clocking块产生输出信号延迟激励

    (20)System Verilog利用clocking块产生输出信号延迟激励 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog利用cl ...

  3. (19)System Verilog利用clocking块产生输入信号延迟激励

    (19)System Verilog利用clocking块产生输入信号延迟激励 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog利用cl ...

  4. System Verilog学习小结

    System Verilog课程小结 第一周 问题1:设计人员和验证人员他们的协作关系体现在哪些地方? 1.设计人员和验证人员都需要围绕功能描述文档开展工作 2.设计只有经过充分量化验证,才有信心去流 ...

  5. sv_labs学习笔记——sv_lab5_上(System Verilog)

    本节将介绍lab5的第一部分,主要总结一般设计学习与思考的方式与需要着重学习的点,同时以lab5作为参考,分析数据流流向,验证组件的通信与抽象化,实现的整体思路. sv_labs学习笔记--sv_la ...

  6. sv_labs学习笔记——sv_lab4(System Verilog)

    sv_labs学习笔记--sv_lab4(System Verilog) lab4 OOP encapsulation 实验概述 任务代码解析 Packat实现与理解 语法点解析 randomize( ...

  7. System Verilog线程——fork join的理解使用

    本文参考绿皮书第七章,线程及其线程间的通信,Verilog HDL A guide to digital design and synthesis 2nd第七章.主要对于begin-end,fork- ...

  8. sv_labs学习笔记——sv_lab3(System Verilog)

    这里我们在前两个lab的基础上继续完善,搭建一个数据接受的功能.在lab2 中我们实现了相关发送信息的打印,选择发送接受的端口,完善发送时序,在这一小节中将实现对端口发送数据的回收,然后进行比较发送的 ...

  9. System Verilog面向对象编程(OPP)基础——类(class)的基本使用

    该文主要是笔者梳理绿皮书对应章节的内容 System Verilog面向对象编程OPP基础--类(class)的基本使用 面向对象编程 概述 考虑名词而非动词 编写第一个类class OOP术语 创建 ...

最新文章

  1. 一个新游戏的思路;大家来说说看,觉得好的话,我做成游戏
  2. 【Visual Studio 2019】创建 MFC 桌面程序 ( 安装 MFC 开发组件 | 创建 MFC 应用 | MFC 应用窗口编辑 | 为按钮添加点击事件 | 修改按钮文字 | 打开应用 )
  3. mysql去掉两个最高分_如何计算去掉一个最高分和一个最低分后的平均分?
  4. Python列表的切片
  5. 设计模式之四(抽象工厂模式第二回合)
  6. python利用win32com实现doc文档转为pdf文档的功能
  7. Hadoop序列化及案例
  8. DirectX修复工具
  9. MySQL规范「索引规范」码出高效,码出质量 - 第399篇
  10. mysql转拼音首字母大写_mysql中文字段转拼音首字母,以及中文拼音模糊查询
  11. office2007加载缓慢_word2007打开很慢的解决方法
  12. MOS管热设计及发热分析详解
  13. 用malloc动态申请一个二维数组的三种方法
  14. Shell获取配置文件中一个字段的值
  15. 压缩格式gzip,bzip2,lzo,snappy
  16. Nessus详细教程
  17. 锐捷AP下电脑突然获取不到IP地址,不能正常上网
  18. java中上传视频到FTP,从FTP直接播放
  19. 计算机本科论文开题ppt,计算机专业开题报告.ppt
  20. S4HANA 如何初始化启用Fiori到安装 FIORI My Inbox App

热门文章

  1. 查看网页上保存的密码-Google Chrome
  2. SpringCloud-Alibaba全家桶
  3. 惯导IMU和惯导INS
  4. MPB:微生物所蔡磊组-​​基于二代测序的真菌基因组组装和注释
  5. 网页版白噪声A Soft Murmur
  6. QT_地图导航 源码下载
  7. OneNav简约PHP导航源码
  8. linux基础55——od
  9. 011 Rust死灵书之幽灵数据
  10. C盘临时文件怎么删除?