已经实现的功能:

  1. 能够自定义投入钱;
  2. 能够显示当前余额;
  3. 能提供给客户所选择的商品。

源代码如下:

import java.util.Scanner;
public class VendingMachine {int price = 50;//商品单价int balance;//余额int total;//总金额void showPrompt(){//欢迎信息System.out.println("welcome!");} void insertMoney(){//投入钱Scanner scanner = new Scanner(System.in);System.out.print("请输入整数: ");int amount = scanner.nextInt();balance = balance + amount;}void showBalance(){//显示余额System.out.print("当前余额为: ");System.out.println(balance);}void getFood(){//获得商品if( balance >= price){System.out.println("Here you are!");balance = balance - price;total = total + price;}}void peroration(){//结束语System.out.println("Looking forward to your next visit!");}public static void main(String[] args) {// TODO Auto-generated method stubVendingMachine vm = new VendingMachine();vm.showPrompt();vm.showBalance();vm.insertMoney();vm.showBalance();vm.getFood();vm.showBalance();vm.peroration();}
}

Java---简易自动取货机相关推荐

  1. 随笔--java-一次简易自动售货机程序设计

    文章目录 前言 一.实验内容要求 二.代码 总结 前言 在课堂上一次性完成了老师布置的实验任务,记录一下. 一.实验内容要求 简易自动售货机程序设计 说明: (a)可多次投币,累计金额超过商品价格时, ...

  2. 简易售货机JAVA sql_JAVA基础---简易自动售货机

    JAVA基础练手项目-自动售货机 要求: 简易售货机 流程: [投币]->[显示货物清单]->[选择一个商品编号购买]->[提示出货]->[找钱] 功能要求: 1. 使用mys ...

  3. java自动售货机代码_急求简易自动售货机(java编程)

    引用z17199的回答: package com.test; import java.util.Scanner; import com.sun.java_cup.internal.internal_e ...

  4. 简易售货机JAVA sql_自动售货机 - 笨拙的小Q的个人空间 - OSCHINA - 中文开源技术交流社区...

    import java.util.Scanner; /** * 华为机试 自动售货机 * 不知道对错 * @author qxl * */ public class Shop { public sta ...

  5. 简易售货机JAVA sql_求一个简易自动售货机的代码(java)要用创建类封装性,输出的时候要有提示语句,代码类似以下图片...

    展开全部 //Example类文件Example.java package cn.zhouhan; import java.util.Scanner; public class Example { s ...

  6. java实现自动售货机

    JAVA基础练手项目-自动售货机,供大家参考,具体内容如下 要求: 简易售货机 流程: [投币]->[显示货物清单]->[选择一个商品编号购买]->[提示出货]->[找钱] 功 ...

  7. Java自动售货机实验报告,java案例自动售货机

    组态王实验案例--全自动售货机_信息与通信_工程科技_专业资料.西门子plc与组态王的实验案例 全自动售货机操作步骤 1.新建工程,命名为全自动售货机 2.设备\\...... 脚本是系统的 用例的实 ...

  8. JAVA模拟自动售货机

    版本说明 2015-07-02 第一版. 2015-07-04 修复余额变更错误问题(变量使用错误造成),增加修改商品数量功能,调整视图结构,修改Unicode为中文,增加功能提示. 下面的代码为最新 ...

  9. 简易自动售货机控制电路

    设计电路有两个投币口(1元和5角),货物2元一件,不设找零.out表示是否提供货物. 设计代码 `timescale 1ns / 1ps // // Company: // Engineer: // ...

  10. 【记录】Multisim设计仿真简易自动售货机

    很长时间没用Multisim了,做了一个小设计作为回顾. 设计内容 设计自动售货机,要求如下: 1)待售物品价格1元.2元.3元.5元: 2)只接受1元.5元.10元币值: 3)机内存有1元零钱无限: ...

最新文章

  1. 接口自动化框架(java)--2.接口用例POST请求,参数配置
  2. 在 Swift 中调用 OC 代码
  3. python羊车门问题_羊车门问题的python模拟和解释
  4. 看文艺青年怎么玩微信客户端
  5. llinux的shell脚本编程详解
  6. 64位ubuntu kylin 16.04下制作tiny4412可用的SD启动卡
  7. ubuntu16.04中使用搜狗输入法Qt5无法输入中文解决方式
  8. c# xml添加 删除 修改数据
  9. SFTP环境搭建及客户代码调用公共方法封装
  10. 1.2、安装Django-1.5.1及所需要的Python2.74环境
  11. confluence 编辑器这次没有加载_喵的Unity游戏开发之路 - 多场景:场景加载
  12. 数据分析人员需要掌握SQL到什么程度?3个常考题目刷一刷
  13. BZOJ - 4568 幸运数字
  14. android+gps+定位+缓存,Android GPS和Network定位
  15. python使用matplotlib可视化矢量流场图、使用streamplot函数可视化矢量流场图、矢量流场图包含坐标和方向
  16. FlashFXP注册码、密钥
  17. 小米Pad退出开发者模式
  18. latex入门(五) 插入表格
  19. java科学计算器代码_用JAVA编写的科学计算器源代码是什么?
  20. Linux系统(三)系统基础扫盲大全

热门文章

  1. 年度回顾:2018年的AI/ML惊喜及预测19年的走势(一)...
  2. 华为敏捷 DevOps 实践:产品经理如何开好敏捷回顾会议
  3. django admin扩展 相关备忘录
  4. 去年3545款恶意App遭下架
  5. java中无符号类型的处理[转]
  6. 一分钟了解阿里云产品:容器服务概述
  7. mac下idea 13 在tomcat 7控制台乱码
  8. VS2010Web默认的浏览器设置和VS里调试JavaScript代码的设置
  9. discuz扩展css命名规则
  10. 解决Visual SVN在linux下不能访问的问题