目录

一、Cordic IP简介

二、Sin 和 Cos

三、Example重点解释


一、Cordic IP简介

VIVADO中,cordic是一个实现通用坐标旋转计算的IP核,在进行数字信号处理时常常会用到,算法主要是通过迭代来解三角方程,不过这些原理的东西我们做FPGA实现的不需要掌握它的原理,重点是怎么用。

cordic核主要功能包括:

  1. rotate 旋转 ——复数旋转
  2. translate 变换 ——复数转极坐标
  3. sin/cos
  4. arctan
  5. sinh/cosh
  6. arc tanh
  7. square root 平方根

我这里介绍sin和cos,其他的学习方法都一样。

二、Sin 和 Cos

下面是pg105文档对sin和cos使用的说明,讲的非常到位,能看懂英文的看,看不懂的看第三节。

三、Example重点解释

(1)当选择Sin和Cos函数配置时,使用CORDIC算法通过输入角旋转单位向量(这里所说的就是弧度【角度/180*PI】)。 这将生成输Cordic IP将为我们输出(Cos(),Sin())的值。

(2)角度的输入范围为-PI-+PI,从S_AXIS_PHASE总线输入,输入规范为2QN格式,也就是3bit的有符号整数和其他的小数位组成,比如11位,则其他小数位8位,如果是负数,则整数和小数按照补码的形式走。如下:

“01100100100” => 011.00100100 => +3.14      // 3.36 => 3.(36/256) = 3.14     
“10011011100” => 100.11011100 => - 3.14      //  -(7-4).(256 - 220) => -3.36 => -3.(36/256) = -3.14

(3)X_OUT和Y_OUT输出余弦和正弦,从M_AXIS_DOUT输出。输出规范为1QN格式,也就是2bit的有符号整数和其他的小数位组成,比如10位,则其他小数位8位,如果是负数,则整数和小数按照补码的形式走。如下:

“0100000000” => 01.00000000 => +1.0         // 1.0    
“1100000000” => 11.00000000 => - 1.0        //  -(3-3).(256-0) => -0.256 = -0.(256/256) = -1.0

(4)例如孤度输入为0.781,计算器输出的sin(0.781/PI*180) = 0.703,和上面的结果一样,如下图:


1.本文部分素材来源网络,版权归原作者所有,如涉及作品版权问题,请与我联系删除;

2.未经原作者允许不得转载本文内容,否则将视为侵权;

3.转载或者引用本文内容请注明来源及原作者;

4.对于不遵守此声明或者其他违法使用本文内容者,本人依法保留追究权等。

下面是我的个人微信公众号,关注【一个早起的程序员】精彩系列文章每天不断。

xilinx vivado 2019 cordic ip 计算sin cos相关推荐

  1. cordic算法计算sin和cos

    %根据cordic算法计算sin&cos %共迭代16次 %输入:-pi~pi %输出:sin&cos function [s,c]=cordic(theta) tanx=0:15; ...

  2. vivado中Cordic IP核使用——计算正余弦(sin/cos)

    目录 1.Cordic算法介绍 2.Cordic IP核介绍 3.仿真 4.存在的问题 5.参考 1.Cordic算法介绍 cordic算法将正余弦计算转换为简单的迭代过程(一系列的加减和移位操作), ...

  3. xilinx vivado 2019 驱动问题,Connecting to hw_server url TCP:localhost:3121,jtag连接不上

    问题: 在对vivado2019.2软件中烧写比特流时,打开硬件目标找不到JTAG,open target连接不上,显示信息为: INFO: [Labtools 27-2285] Connecting ...

  4. 基于xilinx vivado的XADC IP设置使用详解

    基于xilinx vivado的XADC IP设置使用详解 目录 1 XADC概述 2 引用 3 XADC 端口 4 XADC IP设置 5 IP的例程代码的使用 6 Chanel输出值的含义即寄存器 ...

  5. vivado 基于cordic IP核的波形发生器

    实验原理: 四个拨码开关的状态控制四种不同的波形数据,输入信号有频率为50MHz的时钟信号.复位信号以及SW1~SW4四个拨码开关构成:输出信号有dac_data一个模拟信号.本次实验的系统框图以及对 ...

  6. 如何魔改Xilinx Vivado 的MIG IP核

    出于科研需求,需要修改DDR4控制器的物理层(PHY Layer).DDR4控制器代码虽然好找,但是不一定能适配手上的ZCU104:从头开始写一个DDR4控制器工程量太大了,于是决定魔改一下Xilin ...

  7. 基于 xilinx vivado 的PCIE ip核设置与例程代码详解

    1.概述 本文是用于总结PCIE ip例程的学习成果.主要是从ip的设置,ip核的例程代码构成及其来源两方面介绍pcie的使用情况. 2.参考文档 <pg054-7series-pcie> ...

  8. Xilinx vivado DDR3 MIG IP核中系统时钟、参考时钟解释及各个时钟的功能详解

    注:在使用xilinx的MIG 核时,会有许多关于时钟的配置,时间长了容易混淆,特意记录一下为以后快速回忆,如有错误请留言指正. 0.先贴出来DDR3的时钟树,这个图展示了参考时钟设置的强制规定. 1 ...

  9. 基于xilinx vivado的XDMA IP的使用详解

    XDMA ip使用目录 1 概述 2 参考文档 3 XDMA简述 4 XDMA的IP配置 4.1 IP设置第1页速率与接口选择 4.2 IP设置第2页PCIE ID 4.3 IP设置第3页 PCIE ...

最新文章

  1. 2021年大数据HBase(五):HBase的相关操作JavaAPI方式
  2. MySQL之简单示例
  3. php时间下滑出现选择,JQuery设置时间段下拉选择实例_jquery
  4. Win10 连接 Ubuntu16.04.3(通过Xdrp连接xfce4界面)
  5. Java测试代码及原理
  6. 计算机毕设选题可以选什么?计算机本科毕业设计选题2023年指南
  7. 移动设备支持方式-移动设备管理MDM
  8. Photoshop CC 2017工具的使用
  9. 每日新闻丨IBM发布全新高端存储
  10. matlab批量修改图片的大小_MATLAB实现批量处理图像图片的两种方法
  11. wps 甘特图_「WPS办公助手」3分钟画出高逼格流程图,工作学习规划一目了然,老板都要为你点赞...
  12. 以太网已连接却无法联网的解决记录
  13. 第三百篇博客:写给自己的总结
  14. imac打开terminal终端器
  15. 在线直播间和视频追帧【转载】
  16. 从本子文件名中提取本子的名字
  17. 组件Element的入门学习
  18. python分割字符串为字母_关于python:以大写字母分割字符串
  19. mysql不可重复读和重复读_MySql隔离级别:RU / RC / RR / S + 脏读 / 不可重复读 / 幻读 / 可重复读...
  20. nrf52832 sdk15.2.0 dfu升级攻略

热门文章

  1. Python爬虫之爬取酷狗音乐歌曲
  2. C语言等待一秒,延时函数sleep()
  3. PS不能完成命令,因为没有足够内存(RAM)
  4. VMware虚拟机下Centos7 桥接方式网络配置完整步骤
  5. 高清视频会议终端市场现状及未来发展趋势分析
  6. 画动漫人物眼睛怎么上色
  7. 简单模式匹配算法——串的模式匹配
  8. java中play什么意思_Play 框架学习 (二) 介绍Play及基本概念
  9. Arduino与Proteus仿真实例-OLED显示屏(SSD1306)I2C驱动仿真
  10. idea 出现中文乱码