《杭电计算机组成原理存储器设计实验5》由会员分享,可在线阅读,更多相关《杭电计算机组成原理存储器设计实验5(4页珍藏版)》请在人人文库网上搜索。

1、杭州电子科技大学计算机学院课程名称 实验项目 指导教师 实验位置计算机组成原理存储器设计实验实验报告姓班学日名级号期2015年5月15日实验 目的(1)学习和使用Verlilog HDL进行和思绪电路的设计方法(2)学习在ISE中设计生产Memory IP核的方法(3)学习存储器的结构及读写原理,掌握储存器的设计方法实验 环境ISE Design Suite 14.6Digile nt AdeptNexys3实验板实验 内容(算 法、程序、步骤 和方 法)(1) 生成Mempry IP核的产生步骤1) 新建关联文档*.coe 初始化文件操作2) 新建一个Memory IP内核3) Memory。

2、 IP内核的参数设置4) 调用RAM_B存储模块(2) 编写一个实验验证的的顶层模块,调用生成的存储器模块(3) 配置管脚产生* .bit 文件顶层模块:module Test_RAM_B(Mem_Addr,C,Mem_Write,Clk ,L ED);in put 7:2Mem_Addr;in put 1:0C;in put Mem_Write,Clk;output reg 7:0LED;wire 31:0M_R_Data;reg 31:0M_W_Data;RAM_B ram(clka(Clk), .wea(Mem_Write), .addra(Mem_Addr7:2), .din a(M_。

3、W_Data), .douta(M_R_Data);always(*)beginLED=O;M_W_Data=0; if(!Mem_Write) begin case(C) 2b00:LED=M_R_Data7:0;2bO1:LED=M_R_Data15:8;2b10:LED=M_R_Data23:16;2b11:LED=M_R_Data31:24;endcaseendelsebegin case(C) 2b00:M_W_Data=32h0002_0003;2b01:M_W_Data=32h0002_0603;2b10:M_W_Data=32h1234_5678; 2b11:M_W_Data=。

4、32hffff_ffff; endcaseendenden dmodule配置管脚:NET C0 LOC = T10;NET C1 LOC = T9;NET Clk LOC = C9;(接 上) 实验 内容(算 法、程 序、 步骤 和方 法)NET LED0 LOC =:U16;NET LED1 LOC =:V16;NET LED2 LOC =:U15;NET LED3 LOC =:V15;NET LED4 LOC =:M11;NET LED5 LOC =:N11;NET LED6 LOC =:R11;NET LED7 LOC =:T11;NET Mem_Addr2LOC =:V9;NET M。

5、em_Addr3LOC =:M8;NET Mem_Addr4LOC =:N8;NET Mem_Addr5LOC =:U8;NET Mem_Addr6LOC =:V8;NET Mem_Addr7LOC =:T5;NET Mem_Write L(OC = B8;实验仿真结果数据记录和计Objqctifr Ei.佻jnl Namr母 Win.Vrli 1SCK|d 评 L”(nu 耳如 II 11结论(结 果)本实验的结果正确,根据自己写的coe文件中存储的数据进行操作,和实验四 有很多的相似处,只是进行简单的读写的操作 ,实验的结果正确能够根据操 作,lED灯显示具体的数据试验 心得 与小 结本实验和实验四比较来说的话 ,更为简单,利用IP核中储存数据,我们就可以 通过其中存储的数据进行操作,代码也是比较的简单,我们直接调用IP核生成 的模块的代码,以至于操作也比较的方便刚开始不熟悉使用 IP核,了解了之 后就比较简单了,比第四个实验自己写寄存器更简单 指导教师评议成绩评定:指导教师签名。

2015年杭电计算机存储器扩展,杭电计算机组成原理存储器设计实验5相关推荐

  1. 计算机组成原理课程实验报告,计算机组成原理课程设计实验报告

    <计算机组成原理课程设计实验报告>由会员分享,可在线阅读,更多相关<计算机组成原理课程设计实验报告(18页珍藏版)>请在人人文库网上搜索. 1.计算机组成原理课程设计实验报告( ...

  2. 计算机组成原理模型机设计实验总结,计算机组成原理课程设计实验报告-基本模型机设计与实现.docx...

    计算机组成原理课程设计实验报告-基本模型机设计与实现 计 算 机 组 成 原 理 实 验 报 告 评 语: 成绩 教 师: 年 月 日 班 级: 1403011 学 号: 140301124 姓 名: ...

  3. 计算机模型机设计实验报告,计算机组成原理课程设计实验报告-基本模型机设计与实现...

    计算机组成原理课程设计实验报告-基本模型机设计与实现 (12页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 14.90 积分 计 算 机 组 成 原 理实 ...

  4. 计算机组成原理汇编语言设计实验,《计算机组成原理汇编语言程序设计》实验指导书.doc...

    <计算机组成原理汇编语言程序设计>实验指导书,汇编语言实验指导书,微机原理与汇编语言,微机原理及汇编语言,汇编转c语言原理,汇编语言原理,汇编语言和编译原理,汇编语言编译原理,计算机原理与 ...

  5. 计算机原理综合设计实验报告,计算机组成原理--课程设计实验报告.doc

    武汉工业学院 数学与计算机学院 组成原理课程设计 专 业:计算机科学与技术 班 级:________________ 学 号:________________ 姓 名:________________ ...

  6. 计算机组成原理秒表设计实验,计算机组成原理实验2.4计数器赖晓铮剖析.ppt

    计算机组成原理实验2.4计数器赖晓铮剖析 计算机组成原理 实验系列 一.总线与寄存器 二.进位加法器 三.比较器(仲裁器) 四.计数器 五.运算器 六.存储器 七.时序发生器 八.微程序控制器 九.硬 ...

  7. 广州大学2021计算机组成原理课程设计实验报告

    一.本课程设计的性质.目的.任务 <计算机组成与系统结构课程设计>是计算机学院各专业集中实践性环节之一,是学习完<计算机组成与系统结构>课程后进行的一次全面的综合练习.其目的是 ...

  8. 微型计算机原理存储器,微机原理存储器扩展详情解析

    存储器(Memory)是计算机系统中的记忆设备,用来存放程序和数据.有了存储器,计算机才有记忆功能,才能保证正常工作.按存储介质分可分为半导体存储器和磁表面存储器.按存储器的读写功能分可分为只读存储器 ...

  9. 计算机组成原理复杂机实验总结,计算机组成原理复杂模型机设计_课程设计报告.doc...

    成绩: 计算机组成原理课程设计实验报告 复杂模型机设计 姓 名 _ 曾凯杨 班 级 _ 计算机102 学 号 _ 40 实验地点 _ 计算机实验室四楼 实验时间 _ 3月6日 指导教师 刘晶 概述 这 ...

最新文章

  1. 自动驾驶中的9种传感器融合算法
  2. 西电焦李成教授解读《高等学校人工智能创新行动计划》
  3. ASP.NET 2.0中轻松实现网站换肤
  4. 八十二、归并排序求取复杂的逆序数
  5. socket closed是什么意思_socket请求
  6. 使用.net core3.0 正式版创建Winform程序
  7. 2025年将达6.4万亿美元!AI这下玩大了……
  8. python16进制转10进制_python 字节串及10进制,16进制相关转换
  9. python 核心编程_【02】Python核心编程 (全)
  10. Makefile文件(六)_使用条件判断
  11. php如何以post形式发送xm并返回xmll数据
  12. linux ssh freeradius,配置FreeRADIUS提供AAA服务
  13. java获取kafka topic
  14. 产品手记专注0基础转行产品经理平台
  15. 科技爱好者周刊(第 106 期):数字游民
  16. Openssl移植到ARM开发板
  17. 重庆java培训机构排名榜
  18. 获取有道词典在线翻译结果 及 Windows下配置运行ASP
  19. ffmpeg 分辨率 压缩_视频怎么在尽量不损害画质的前提下压缩?
  20. C语言,输入硬币面值和硬币个数,换成输出一分,两份,五分硬币亦硬币个数

热门文章

  1. AUC和ROC曲线的前世今生
  2. CefSharp的ChromiumWebBrowser截出来的图片是空白
  3. web前端入门到实战:web前端开发好学吗?
  4. One-Lin3r:懒人的福音,渗透测试单行化工具
  5. 《深入分布式缓存》之 “缓存为王”
  6. 【原】通俗说法所谓数码相机的“动态像素”和“静态像素”背后的故事
  7. 两款简单的拒绝服务攻击工具
  8. VFW连接视频驱动不成功问题解决
  9. 浙江省计算机专业专科院校排名,浙江省高职院校“五强”
  10. 今日行业报告更新10月25日