一、设计要求:
1、可满足8个选手的抢答
2、具有主持者控制开关,用来控制系统清零和抢答开始
3、抢答器具有数据锁存功能、显示功能和声音提示功能
4、抢答开始后,若有选手按动抢答器按钮,编号立即锁存,并在LED数5、码管上显示选手的编号,同时灯亮且伴随声音提示,还要禁止其后的抢答输入
二、实验设计和分析
1 总体设计方案
方案一:采用数字电路控制。
采用数字显示电路的好处就是设计简单。用以在P0口外接电阻做输出口并接数码管来显示时间 ,共设了8个用户输入键,当抢答的时候,其中只有1个是有效按键,其它的都是干扰按键,若按下干扰键,将无反应只显示第一位抢答编号,如果用户抢答的时间剩下5秒(一般情况下,用户不会超过5秒,若用户觉得不便,还可以修改)电路将报警。
电路由两大部分组成:显示器电路和主电源电源(UPS),其中设置时间调整是为了可以合理的调节时间更加的人性化和用于不同的场合。
方案二:采用一种是用以AT89C51为核心的单片机控制方案。利用单片机灵活的编程设计和丰富的IO端口,及其控制的准确性,不但能实现基本的抢答器功能,还能添加调节功能等等。
通过比较以上两种方案,单片机方案有较大的活动空间,不但能实现所要求的功能而且能在很大的程度上扩展功能,故本次设计采用方案二。
1.1 系统设计方案
本方案采用一种是用以AT89C51为核心的单片机控制方案。利用单片机灵活的编程设计和丰富的I/O端口,及其控制的准确性,实现基本的抢答显示功能。
初步设计思路如下:
抢答器用按钮为了更加方便直观的使用。
LED数码管显示第一位抢答号以及抢答时间以及限时时间。
用发光二极管代替报警的电路,发光表示倒计时已经进入5秒计时。
到计时间到,系统报警。
打开电源后,显示器显示“F FF”,只要第一个按下抢答器的就会显示抢答号。这样可预防抢答的时候出现不必要的麻烦。
按“复位”键,清除显示器为“F FF”。
按开始键开始抢答倒计时,当有人抢到第一个诞生那么主持人开始问问题,并且按下限时按钮开始倒计时,一共为二十秒,抢答人必须要在20秒内讲出答案如果进入最后5秒那么开始报警闪光提示,当闪光介绍那么就说明答题介绍并且蜂鸣器鸣叫以提示。然后进入第二轮的抢答要按下复位按钮,来更好的完成接下来的任务。

1.2 系统总体结构框图

本次设计主控制器为AT89C51单片。
1.3 功能实现显示区
在本次设计中,基于节省材料的原则,暂时用发光二极管代替提示系统,发光管亮,表示5秒倒计时;灭,表示倒计时介绍。当P口输出低电平时,二极管发光,提示答题即将结束。
硬件设计

2.软件设计
主要过程是LED数码管提示开始倒计时和限时抢答号,通过按键抢答,同时LED显示倒计时情况,按下抢答键后判断第一位抢答号,作出倒计时和抢答号已经报警提示处理。
由于采用多个按键来完成抢答,那么其中一个第一个完成按键的会在数码管上显示抢答号。在抢答过程中,首先要进入开始抢答的步骤,接着根据抢答号由显示的抢答人来答题,直到回答出或者倒计时结束,才能完成抢答过程。进入抢答号的判断比较处理状态并给出相应的处理过程。

2.1程序流程图

3 仿真和调试
采用Keil 和Protues软件相结合进行仿真。
数码管初始化显示“F FF”:

按下开始按钮后,进入抢答倒计时:

选手在20秒内抢答,如8号选手:

进入倒计时(5s):

非正规抢答,如6号选手:

4 附录(源代码:)
由于篇幅有限相应的代码和仿真图已经上传(包括程序和课程设计报告),有需要的自取:
https://download.csdn.net/download/qq_44699923/12230569

基于51单片机八路抢答器课程设计(含proteus仿真图及代码)相关推荐

  1. 51单片机八位抢答器c语言设计,基于51单片机八路抢答器的设计

    基于51单片机八路抢答器的设计 毕业设计(论文)题 目:基于 51 单片机八路抢答器的设计 系 部: 专 业: 学 号: 学生姓名: 指导教师姓名: 指导教师职称: 2013 年 xx 月 xx 日X ...

  2. c语言六位抢答器课程设计,51单片机八路抢答器课程设计

    ;说明:本人的这个设计改进后解决了前一个版本中1号抢答优先的问题,并增加了锦囊的设置,当参赛选手在回答问题时要求使用锦囊,则主持人按下抢答开始键,计时重新开始. ;八路抢答器电路请看下图是用ps仿真的 ...

  3. 数字电路-八路抢答器的设计(proteus仿真)

    数字电路-八路抢答器的设计 设计要求 设计思路 整体电路 仿真结果 注意 工程文件获取 设计要求 要求设计一个数字系统,使其能够完成竞赛抢答的功能,八人参赛,每人各自控制一个按键开关作为抢答器. 主持 ...

  4. 自行车存放管理系统c语言课程设计,基于51单片机的自行车智能辅助系统设计-(Proteus仿真图+源程序+论文)...

    基于单片机的自行车智能辅助系统设计 摘 要 随着科学技术与物联网的发展,推动着智能化产品逐渐从无到有再到快速发展,智能科技逐渐改变社会发展方式,过去很多无法想象的科学技术或者生活方式,现在利用先进和智 ...

  5. 单片机8位抢答器实训机电报告_基于单片机89c51八路抢答器课程设计报告.doc

    基于单片机89c51八路抢答器课程设计报告 中州大学工程技术学院 单片机课程设计报告书 题目:基于单片机89c51 8路抢答器 姓 名: 阮成龙 专 业:电气自动化(对口)一班 学 号: 201425 ...

  6. 单片机四路抢答器课程C语言设计,基于51单片机四路抢答器设计

    内容简介: 毕业设计 基于51单片机四路抢答器设计 共25页,9905字 随着经济水平的不断提高,人们在物质文明得到满足的同时更注重精神文明的追求,各种娱乐节目不断涌现,提高节目的质量尤为重要..本次 ...

  7. 51单片机 八路抢答器+Proteus仿真

    51单片机 八路抢答器+Proteus仿真 Proteus仿真 实例代码1 直观,任意看懂 #include <reg52.h> unsigned char code led[17]={0 ...

  8. 【038】基于51单片机的土壤湿度自动浇花系统Proteus仿真设计

    一.压缩包资料内容 (1).基于51单片机的土壤湿度自动浇花系统proteus仿真设计一份: (2).基于51单片机的土壤湿度自动浇花系统proteus仿真设计keli源代码一份: (3).基于51单 ...

  9. 【043】基于51单片机的篮球比赛积分计时系统Proteus仿真

    一.压缩包资料内容 (1).基于51单片机的篮球比赛积分计时系统proteus仿真设计一份: (2).基于51单片机的篮球比赛积分计时系统proteus仿真设计keli源代码一份: (3).基于51单 ...

最新文章

  1. 电视信号——行场同步
  2. IDEA IntelliJ 如何设置网站的欢迎页面
  3. 给 Windows 驱动程序安装提速
  4. 【FPGA】SRIO中的关键问题总结(一)SRIO中的关键数据包格式总结
  5. JDK JRE 的作用与区别【转】
  6. 强化学习《基于策略价值 - Actor-Critic》
  7. modelmap前端怎么取值_【百度】前端开发岗面试题
  8. 理解metrics.classification_report
  9. 【转载】对SVM的个人理解---浅显易懂
  10. out.print 嵌套html代码_代码规范之前端编写码规范
  11. C++中带默认参数的函数定义及使用总结
  12. 论文查重算法 python_论文查重降重绝密方法
  13. Altium Designer使用-----智能pdf输出装配图
  14. linux修改文件权限为所有人都可以访问,Linux 笔记分享八:文件权限的设定
  15. 一种人脸识别活体检测方法
  16. 支付宝登陆泰国最大免税店King Power可扫码支付
  17. 学生用计算机中括号怎么打,大括号怎么打,教您word大括号怎么输入
  18. java fx scen,很好的JavaFX2.0基础教程
  19. 兄弟机cnc系统面板图解_兄弟机操作面板及编程
  20. 米斯齐超声波传感器显示测量距离(oled)内附Arduino代码

热门文章

  1. HTML行内元素、块级元素和行内块元素
  2. Windows配置SSHKey到GItHub
  3. SSIS_创建SSIS包和数据源
  4. vscode调试js
  5. 英语六级写作必备短语词汇1
  6. 2012春节回乡见闻
  7. vuze自动关机插件
  8. 四:MySQL 表介绍
  9. 测试小故事6:术业有专攻
  10. Windows7 半角全角快捷键 修改方法