1.zynq开发板的构造以及推崇的设计理念

设计推崇的理念是设计有知识产权的可重用的IP模块。

2.操作过程中遇到的问题以及解决方法

(1)综合速度慢解决方案:

我们都知道Vivado编译起来相当的慢,每次综合起来我就拿起了手机,这个方法可以提高编译速度,在VIVADO中一个run编译时支持的线程数如下表:(综合时一般是2线程)

Place

Route

Windows默认

2

2

Linux默认

4

4

Windows开启maxThreads=8

4

4

linux开启maxThreads=8

8

8

A:在tcl脚本处输入如下命令:

  设置多线程的命令为:  set_param general.maxThreads 4

  读取当前线程数的命令: 为get_param  general.maxThreads

B:增量编译设计:

  1、首先完成非增量编译,获得参考的布局布线dcp文件;

  2、当逻辑修改小于5%,需重新出版本,采用增量编译

  3、按步骤打开:implementation setting——options——incremental compile,浏览文件

  4、选择.runs / impl_1 / *.dcp

  5、点击run implementation,等待结果

效果:预计能减少30%左右的时间。

(2)引脚指定约束xdc文件的编写语法要求等

参考网址

https://blog.csdn.net/angelbosj/article/details/52921563

http://xilinx.eetrend.com/article/8441

https://blog.csdn.net/feiliantong/article/details/78011665

(3)dcp文件的用途:http://blog.chinaaet.com/luozhongpin1989/p/37153

3.操作的流程

http://www.docin.com/p-1856047260.html

以一个工程为例,按照如下步骤开发关于block design

#1.create block design,产生一个空白的工程模板

#2.导入IP核,包括一些经常用到的核,如zynq-processing-system,axi-dma,conact,axi-fifo-data,以及我们需要的所有的自己编写的HLS的IP核

#3.选择auto-connect对模块进行连接,但是这个过程并不会把所有的模块都接好,所以还需要进一步的手动连接(需要注意的是对于axi相关的连线,能一根直接连接完毕就不要用多根自己连接,会导致编译错误)

#4.进行validate design————generate block design(相当于产生一个完整的工程)————create wrapper(将工程包装起来,右击source的system选择create HDL wrapper即可)

#5.执行综合和implementation(该过程费时间较长,可以先略过去)然后generate bitstream

#6.file--export hardware 然后launch SDK,打开SDK进行软件部分的设置

#7.软件部分的实现过程直接导入main.c等相关的文件,file ---refresh后,保存就会自动编译

#8.编译成功后,右击system_wrapper_hw_platform_0,在弹出来的对话框中选择run as ---run configurations,然后选择对应的bitstream文件,接下来就可以将文件下载到开发板,进行工程的执行。

vivado基本知识相关推荐

  1. 基于vivado(语言Verilog)的FPGA学习(3)——FPGA理论知识

    基于vivado(语言Verilog)的FPGA学习(3)--FPGA理论知识 文章目录 基于vivado(语言Verilog)的FPGA学习(3)--FPGA理论知识 1. FPGA介绍 1.1.F ...

  2. 基于vivado开发xilinx系列FPGA的冷知识(1)——自定义IP核的封装与后期修改

    感慨于各大论坛里关于vivado的一些冷门功能使用技巧十分匮乏,我决定慢慢更新一些自己经历项目实战后的使用心得,仅作抛砖引玉. 第一篇来介绍下开发完毕后以IP核形式打包工程文件的一些操作. 可能很多人 ...

  3. io vivado 怎么查看ps_ZYNQ 7020学习笔记之PL侧普通信号中断PS的实验

    1.参考 UG585 网络笔记 2.理论知识 见中断部分 3.实验目的 练习使用PL侧的普通信号来中断PS处理器. 4.实验过程 建立工程,设置并初始化串口中断,在运行程序之后,如果串口接收到N(1- ...

  4. 【FPGA教程案例2】基于vivado核的NCO正弦余弦发生器设计与实现

    FPGA教程目录 MATLAB教程目录 -------------------------------------------------------------------------------- ...

  5. (193)FPGA上电后IO的默认状态(Vivado软件默认为z)

    (193)FPGA上电后IO的默认状态(Vivado软件默认为z) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA上电后IO的默认状态(Vivado软件默认 ...

  6. (192)FPGA上电后IO的默认状态(Vivado软件默认为1)

    (192)FPGA上电后IO的默认状态(Vivado软件默认为1) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA上电后IO的默认状态(Vivado软件默认 ...

  7. (191)FPGA上电后IO的默认状态(Vivado软件默认为0)

    (191)FPGA上电后IO的默认状态(Vivado软件默认为0) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA上电后IO的默认状态(Vivado软件默认 ...

  8. (237)Vivado工程编译时间过长原因总结

    (237)Vivado工程编译时间过长原因总结 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)Vivado调试编译时间过长原因总结 5)技术交流 6)参考资料 2 ...

  9. VIVADO时序约束及STA基础

    一.前言 无论是FPGA应用开发还是数字IC设计,时序约束和静态时序分析(STA)都是十分重要的设计环节.在FPGA设计中,可以在综合后和实现后进行STA来查看设计是否能满足时序上的要求.本文阐述基本 ...

  10. vivado 亚稳态_VIVADO时序约束及STA基础

    一.前言 无论是FPGA应用开发还是数字IC设计,时序约束和静态时序分析(STA)都是十分重要的设计环节.在FPGA设计中,可以在综合后和实现后进行STA来查看设计是否能满足时序上的要求.本文阐述基本 ...

最新文章

  1. We Are The World -- 欧美群星 迈克尔.杰克逊
  2. leetcode 551. 学生出勤记录 I(Java版)
  3. 【opencv】——钢管计数(霍夫圆变换 + 阈值 + canny)
  4. 前端学习(600):使用chorme devtools进行开发
  5. c语言程序设计第2章,C语言程序设计第2章 结构化程序设计与算法.ppt
  6. 机器学习系统:设计与实现 计算图
  7. 操作系统锁的实现方法有哪几种_一文带你彻底了解同步和锁的本质
  8. Java基础之写文件——使用Formatter对象加载缓冲区(UsingAFormatter)
  9. 11-8 热点key的重建优化
  10. 记录-使用TM1640 数码管驱动芯片
  11. 一键在线生成朋友圈转发点赞截图教程
  12. 显微镜下的大明内容_《显微镜下的大明》读后感
  13. 操作系统 | 银行家算法
  14. 第44周星期二手机CPU认识及Tomcat配置部署法
  15. 如何实现访问不同网络下的局域网网站
  16. CentOS 7 最小化系统安装图形化桌面
  17. Ruby on Rails的核心特性是什么?
  18. 【ML/DL】python3学习《机器学习实战》书中的报错及解决办法
  19. 蚂蚁金服是如何实现App端侧的极致体验的?
  20. Mysql翻页查询数据重复怎么办?

热门文章

  1. Windows无法访问指定设备,路径或文件.您可能没有合适的权限访问这个项目
  2. 网络测量工具及其使用
  3. 【论文学习】Large-scale Video Classification with Convolutional Neural Networks
  4. 【BUUCTF】[SWPU2019]神奇的二维码
  5. IDEA教育版申请流程
  6. Stream,byte[],LZMA
  7. 对ID3算法的理解及其优缺点
  8. H7000 DTU 问与答
  9. SSH智能社区住户信息管理系统
  10. Log4j配置使用的简单教程