一、创建自定义层次结构

1. 启动新的层次结构

  在“属性”窗格中选择Category成员,然后在右键菜单中选择“启动新的层次结构”。

2. 重命名

  在新建的层次结构单击右键,在右键菜单中选择“重命名”,将其改名为Product Category。

3. 添加新的级别

  在“属性”窗格中选择Subcategory成员,将其拖动至Product Category层次结构的“<新级别>”上。

  在“属性”窗格中选择Product Description成员,将其拖动至Product Category层次结构的“<新级别>”上。

  在“属性”窗格中选择Color成员,将其拖动至“层次结构”窗格的空白处,创建为一个新的层次结构。然后将其重命名为Size by Color。

  在“属性”窗格中选择Size成员,将其拖动至Size by Color层次结构的“<新级别>”上。

4. 查看属性关系

二、创建属性关系

1. 创建属性关系

2. 确认属性关系

数据仓库入门(实验6)添加层次结构相关推荐

  1. NIOS软核处理器入门实验

    NIOS软核处理器入门实验 脚本方式的优势: •便于工程的复制和搬移, 命令脚本能够较好的解决文件路径的相对关系 •便于参数的集中修改,命令脚本的参数相对集中, 在配置文件的集中区域可以完成所有的设定 ...

  2. dsp 实验c语言,dsp实验报告 实验1 ccs入门实验2(c语言的使用)

    1. 1 DSP 实验报告实验报告 院 ( 系 ) 名 称 自 动 化 科 学 与 电 气 工 程 学 院 学 生 姓 名 学 号 任 课 老 师 吴 冠 2014 年 6 月 DSP 实验报告 1 ...

  3. 跨交换机实现VLAN 入门实验

    跨交换机实现VLAN 入门实验 提示:建议先了解计算机网络的网络层再接着看! 1 实验目的: 理解跨交换机之间VLAN的特点. 2 技术原理: Tag Vlan是基于交换机端口的另外一种类型,主要用于 ...

  4. FPGA 电路开发入门实验

    FPGA 电路开发入门实验 第0章:基础知识 如图1,本实验使用实验板为altera DE0,板子型号为Cyclone Ⅲ, EP3C16F484C6,编译软件为QuartusⅡ9.0. 图 1 Qu ...

  5. Vivado HLS 入门实验

    当我们安装好Vivado 的时候,也同时装好了Vivado HLS.. 这是个什么东西?我就有一种想一探究的感觉.网上一查,Vivado High-Level Synthesis.学习了一段时间的Zy ...

  6. 网络安全入门实验04:病毒专杀工具的制作

    目录 0.实验要求 1.病毒行为分析 1.1  火绒剑监控 1.1.1 对docx进行的操作 1.1.2 创建了readme.txt 1.1.3  创建了自删除脚本.执行并删除 1.1.4 补充 1. ...

  7. 【EDA实验一】Quartus II 软件和 DE2-115 开发板 使用入门实验

    Quartus II 软件和 DE2-115 开发板 使用入门实验 文章目录 一.实验目的 二.实验任务及要求 三.实验原理与步骤 四.实验结果与分析 一.实验目的 熟悉 Quartus II 开发环 ...

  8. AJAX实验(添加+模糊查询 图书)

    目录 实验要求 前置知识 实验过程 项目文件结构 建立数据库 制作"添加图书"界面, 图书模糊查询界面 总结 1.AJAX框架 2.SQL模糊查询实例 实验项目文件 实验要求 在数 ...

  9. FPGA入门实验-基于状态机实现串口回环收发

    任务目标 基于状态机实现串口回环收发.最近生产实习的FPGA培训课程内容,还是挺简单的.具体原理其他文章应该都烂大街了,重点是状态机的写法,还是很少博主写,没怎么看到,基本上都是时序机写的模块功能. ...

  10. 操作系统实验:添加系统调用修改主机名(hostname)

    实验环境配置 Ubuntu18.04.5,Linux内核:5.9.6,VMware Workstation Pro,内存:4G,CPU:4x1=4核,外存:128G. ubuntu安装.内核源码下载及 ...

最新文章

  1. 检验Xcode是否被改动过的简单方法,不妨试试!!!
  2. onvif规范的实现:成功实现ONVIF协议RTSP-Video-Stream与OnvifDeviceManager的视频对接
  3. SQLite学习手册(在线备份)
  4. hdu 1026 Ignatius and the Princess I(优先队列+bfs+记录路径)
  5. Water Balance CodeForces - 1300E
  6. 关于脑机接口该如何实现的考虑
  7. php javascript对象,JavaScript 对象
  8. SQLServer存储过程编写规则
  9. 3.FreeRTOS学习笔记-任务
  10. Spring中为什么要开启注解扫描
  11. 解决“C:\Windows\System32\ntdll.dll”。无法查找或打开 PDB 文件问题
  12. node.js学习之路(1)
  13. mmp格式转换_mmp是什么意思
  14. php美颜滤镜,新手入门看这里,BeautifyFace美颜滤镜Demo中文注释
  15. 【matlab】 matlab中如何取整
  16. Latex论文用bibtex实现期刊/会议缩写
  17. 西服|西装扣子怎么扣
  18. AES加解密算法详解
  19. 像素颜色和颜色向量相加相乘的理解
  20. 大学生关于激励自己的名言座右铭(合集)

热门文章

  1. 安装ie9提示未能完成安装_Windows 7下安装IE 11失败,提示:Internet Explorer未能完成安装...
  2. mac怎么查看gitlab的注册邮箱_单位企业邮箱是什么?公司邮箱怎么申请注册?
  3. Web Worker 你知道吗?
  4. 单线程和多线程的区别
  5. impdp 不兼容_oracle - Oracle:使用impdp导入数据库转储时出错:Data Pump客户端与数据库版本12.1.0.2.0不兼容 - 堆栈内存溢出...
  6. 如何将一个java程序变成exe_如何将一个java程序转换为.exe文件?
  7. 如何修改zblog的css,修改Zblog中的CSS的方法
  8. java8 list map 分组_java8 List转Map,并进行分组过滤求和等操作
  9. php动态柱形图,php生成柱状图(统计图)程序
  10. java迷宫类编程题_第十届蓝桥杯省赛java类B组 试题 E:迷宫 (动态规划之回溯法)...